Chip123 科技應用創新平台

標題: 關於ucf file [打印本頁]

作者: handwin    時間: 2007-5-23 11:12 AM
標題: 關於ucf file
最近遇到一個問題     寫了一個簡單的除頻器   然後輸出四個波型    功能驗證  ok
- X9 x; C) K, X1 T  W$ T* Q" z但是如果我先assign pin location 的話     合成及實做後的時序驗證  就會沒有輸出
: P/ ]. D% D- o) s$ H) V/ `反之   我不assign的話   讓ISE自行去實作      則 時序驗證就會通過       ' J, U- ~5 a' H) u$ U8 b0 v9 w0 M2 h  K# V
請問這會是什麼原因       新手一個      謝謝大家幫忙    對了  我的device是  
8 j% t4 m  z2 sspartan3 XC3S200 TQ208
作者: handwin    時間: 2007-5-23 12:04 PM
標題: 回復 #1 handwin 的帖子
不好意思 剛剛忘了列出  時序驗證的錯誤訊息
; V( K+ T! m) _5 @& a3 U0 e  `; w/ D( v' h
# ** Error: C:/simprims/X_SFF.v(94): $setup( negedge SRST &&& (srst_clk_enable == 1):20004899 ps, posedge CLK:20005139 ps, 990 ps );$ W4 d: v: U- d% L* `9 o/ ]
#    Time: 20005139 ps  Iteration: 2  Instance: /stimulus/top1/\gen1/CNT_4\2 q# M! w- J! `+ U5 n
# ** Error: C:/simprims/X_SFF.v(94): $setup( negedge SRST &&& (srst_clk_enable == 1):20004899 ps, posedge CLK:20005139 ps, 990 ps );
/ F0 v- w/ l1 y# B+ @: k#    Time: 20005139 ps  Iteration: 2  Instance: /stimulus/top1/\gen1/CNT_1\1 S1 l4 ?2 G1 U9 H
# ** Error: C:/simprims/X_SFF.v(94): $setup( negedge SRST &&& (srst_clk_enable == 1):20004547 ps, posedge CLK:20005139 ps, 990 ps );
5 w8 w7 O, Y/ m5 v; G$ y#    Time: 20005139 ps  Iteration: 2  Instance: /stimulus/top1/\gen1/CNT_2\7 N, A$ ]4 t  [# G
# ** Error: C:/simprims/X_SFF.v(94): $setup( negedge SRST &&& (srst_clk_enable == 1):20004547 ps, posedge CLK:20005139 ps, 990 ps );5 W* f. q2 z" @: d0 F
#    Time: 20005139 ps  Iteration: 2  Instance: /stimulus/top1/\gen1/CNT_3\5 @/ @) y& X& @* Q6 E8 [, L: Z
9 F( ?) i: W: n2 Z

% W5 L: s3 g+ f' w$ I! r% ]% q, j0 u8 g& `$ z/ _
會這樣大概是什麼原因呢?
作者: masonchung    時間: 2007-5-23 11:43 PM
請確認一下自己assign 的 pin location 是否在同一個區域# B) S' o# u3 ^4 u: w" g
看起來是 setup time的問題
作者: jason_lin    時間: 2007-5-25 12:22 AM
標題: 回復 #2 handwin 的帖子
最好是把UCF file貼上來看看^^
; q- J0 H0 D! \! M! c/ }, `8 e這樣比較好找問題,看起來是Timing的問題,要知道你怎麼下限制的.6 x7 N. k* `! N5 o% g4 B5 Z2 W$ p

作者: handwin    時間: 2007-5-25 10:51 AM
謝謝兩位大大的協助     問題已經解決了       是我自己沒將全部訊號給reset  改了以後   post simulation就可以過了
+ b( b) U% C& Q/ f/ t  N9 W7 G' P( y7 n
但是又出現另一個問題,    我在上述程式燒錄在spartan3 XC3S400上     用示波器看  結果都沒問題: W7 ]7 x5 K: K5 E% R6 a
; ~* ]* J* z' p+ }- e4 k
不過當我將程式環境改在spartan3 XC3S200上   可以合成也可以實作    但是做 post simulation  就又出現上述訊息了  還有我沒有下timing 2 S0 y  g; `  s* l

, f7 J" \5 z& R/ U9 zconstraint  我的ucf如下
# D! N; r. E3 }- n$ ]# J0 L9 {0 N9 V
3 o+ I& v; t( O% k- P#PACE: Start of Constraints generated by PACE) t2 F4 K! I8 g8 y- R& R, t8 {/ }# X

9 O0 d0 z( W. C5 J8 Q  ]# R#PACE: Start of PACE I/O Pin Assignments
9 L* i* p* k& i, j9 O" B0 w& YNET "CLK"  LOC = "P155"  ;
/ x4 T1 v- F9 Z. \NET "CLK_N"  LOC = "P149"  ;- D( @) T" u1 @) g7 F7 j/ }
NET "CLK_P"  LOC = "P147"  ;
0 B8 J: l1 |  Y, l! V0 k1 ]NET "RESET_B"  LOC = "P152"  ;. s/ h8 J* {, ?" D
NET "RST"  LOC = "P144"  ;' @1 t/ k5 q# F% R! P
NET "STV"  LOC = "P141"  ;
+ J( B' Q/ K: \9 ^2 B2 e) y) F; z7 ^- l) y5 J* Z3 e
#PACE: Start of PACE Area Constraints: [! D2 n4 O. e! O( P

$ D' \5 O" ]" v# C" m#PACE: Start of PACE Prohibit Constraints
0 [, a8 p0 Q$ {- n: m9 ~0 a& J- _" C5 U* `& M8 }5 I; d
#PACE: End of Constraints generated by PACE3 I" j) u  {5 g' @' V' v* [
& ^! n7 s7 M9 D& y( X
順便請問一下版大   您說的pin assign到同一區是什麼意思    因為我必須將接腳都assign到rsds接腳那邊  不知道這有影響嗎?
7 \$ N0 s4 P0 P( C" B6 \5 i  A                                                                                                                                    3 f& X2 o" x, `- c7 j" d
謝謝
# C0 ^% ?* |; i/ S% f1 k
& F& h( K) ?$ u[ 本帖最後由 handwin 於 2007-5-25 10:52 AM 編輯 ]
作者: handwin    時間: 2007-5-25 11:32 AM
不好意思     忘了po xc3s200上  我assign的腳位了6 j' P- H; v1 R* d4 O* p8 t8 K
/ C5 B8 }* A5 `9 R
#PACE: Start of Constraints generated by PACE
3 e+ K& P, T2 R: f3 a
0 |$ \7 E6 ~) D# h. |, @3 T#PACE: Start of PACE I/O Pin Assignments
) @4 W8 z# A; K/ ^  \NET "CLK"  LOC = "P105"  ;
: d1 c, ?4 Y" m& DNET "CLK_N"  LOC = "P97"  ;
: c! I4 @3 w" `3 w! ]8 eNET "CLK_P"  LOC = "P96"  ;/ F9 f  }2 b$ a% ~; d
NET "RESET_B"  LOC = "P104"  ;
' `8 y3 }% Z; k3 {0 K9 G" ]4 A# dNET "RST"  LOC = "P100"  ;# d# U1 I# r, [4 s$ Z3 q& }
NET "STV"  LOC = "P99"  ;; u" }0 T9 O" X1 E+ m. k
% g. V, u8 r) ~8 l% o
#PACE: Start of PACE Area Constraints
  I. c! X6 @0 l- ]4 J8 E; C) E% |1 \" Y* I2 @
#PACE: Start of PACE Prohibit Constraints& \6 k- N4 j' A- A! P. z2 K# f5 H: U
2 z4 _" U! z: k6 {
#PACE: End of Constraints generated by PACE
作者: handwin    時間: 2007-5-25 11:37 AM
不好意思     忘了po xc3s200上  我assign的腳位了! E) Y' Q0 O: C8 R. a2 U# z) u1 U

2 ~4 Q( U3 A" F' F2 T+ o3 k" `1 m% P1 U#PACE: Start of Constraints generated by PACE
% y' o4 m$ Z% L+ e1 J; f; B3 f
( F/ E$ S$ o8 ]9 V#PACE: Start of PACE I/O Pin Assignments1 H- c7 \7 B# K1 s' j1 m
NET "CLK"  LOC = "P105"  ;0 k5 B, L9 I0 g% ~1 ^
NET "CLK_N"  LOC = "P97"  ;
, `9 h6 y0 Q! ?4 \# xNET "CLK_P"  LOC = "P96"  ;
6 f# D- L6 H9 dNET "RESET_B"  LOC = "P104"  ;; u# w8 `3 q: B. X4 `+ }; c
NET "RST"  LOC = "P100"  ;
; @7 [  W( C% _" J- A+ t3 nNET "STV"  LOC = "P99"  ;5 i1 z) L* p( g0 t8 t+ J
4 y5 g' A6 q5 D* z8 d7 x# p' k
#PACE: Start of PACE Area Constraints
( _5 X1 o6 _# I% D7 i0 ?8 @; L- j# ~& D8 {- d: p6 [! o& F8 x
#PACE: Start of PACE Prohibit Constraints
* k0 B4 @; H; w! R5 _1 s) u
. E9 ~% U; ~1 H#PACE: End of Constraints generated by PACE




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com.tw/) Powered by Discuz! X3.2