Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 53702|回復: 51
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2007-8-28 10:40:06 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
如題
: X3 n- j* x# X, T9 T/ x3 S小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
8 ~; N7 l, k' h3 w6 Z5 t0 E( W3 A如果不是麻煩版主修改一下囉
( m% q* q" |- N( Z  ~, ]2 G! p
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數
0 S: f" Q" }) E: \6 [7 J3 c總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5
; P# ~, P+ X9 q  v* t9 [. V! V
1 b; Q: [0 {3 k# E( I& X請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?
# ?2 k# R4 W& e, L; J0 h" w謝謝!

評分

參與人數 1 +4 收起 理由
kuannan + 4 謝謝小朱仔提供的code囉 對初學者 真是�

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
2#
發表於 2007-8-28 10:52:11 | 只看該作者
原帖由 monkeybad 於 2007-8-28 10:40 AM 發表 & G, F' M. y$ l" Z% h+ x( s
如題
' u4 k5 i- |& Q* E* h小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區4 z5 F, a/ ~  K
如果不是麻煩版主修改一下囉) n! [1 g, \7 f3 z  B! g9 }& o3 k
( ~3 e$ p# v+ j: Q
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一 ...
- w7 b; J% V; y3 }3 T
( B, q/ b5 j' Z6 g
大大您是要寫Verilog還是電路
: A6 G: L# E) V$ w
+ t' Y4 B' L" e; Q4 P: U. W* ^先PO個RTL Code參考看看4 i" G7 t- I& z! O9 ]" E

6 N3 q5 U% [: W* \5 f輸入:N clear load clk
# x! R/ t# x6 I* p1 r7 A  Y2 R( u% G輸出:count
, k2 \8 Q; p8 {& {$ H; H+ b% ~) Y$ h( z
行為描述(依照優先順序): _1 y2 r' N* \6 \: F- D* V
1.如果clear為1,則輸出設為為0
9 K2 G0 a2 J8 i+ C! o. X- |. f2.如果load為1,則輸出設為N
5 m  q. S1 j# `7 V( ^0 \3 P3.每個時脈輸出遞增一次(BCD)9 [% l; |; b/ g7 P$ V2 \
5 r7 ?) U) ^9 I9 `
" |( @! |: `8 z- |6 D8 U. m

: B5 g7 s! y, I, v/ ?' ]! j, H程式碼:
. L" i' R  a* g! W* i; D; QBCDcounter.v
+ w/ j& ~( G$ w$ M# X) E
5 d  C! n+ q; a' \  v8 P9 dmodule BCDcounter(clear,load,clk,N,count);+ D9 V' L8 c( |" H1 W
input  clear,load,clk;
) z- S. G- Q3 u8 X# k1 Winput  [3:0] N;
1 A) j& f1 z2 ?8 @output [3:0] count;
! R$ G( U% ~& k; L5 L" }* u8 Breg    [3:0] count=0;. O( Y& w2 u+ N+ h6 @" r
, O% g$ {! p6 D' E* `
always @ (posedge clk) begin; s: n! c$ K! a0 F! P
  if(clear)                 //clear=10 w; U; a: H) n, e# E+ j% Y
    count=0;
7 b: R" s8 o. j( I) p8 U  else if(!clear) begin     //clear=0(共有0 1 X Z四種狀態)
' ^; o. Y; L6 R0 @  f    if(load) begin
# `+ |# b2 Z  l2 Y6 E- b( M5 k0 P% {; }      if(N>9)
# Q% Z5 z0 I5 @) L4 o, u        count=0;
/ r, p4 z9 w: F; G      else; x+ V- u7 @; G
        count=N;
- \7 E) A4 h  [7 y0 j) c    end
. l: g! F8 u3 l0 |4 g) M4 \    else if(!load) begin$ K( G" G( f) y  u% V- k/ m
      count = count+1;
, n. q: \0 s. W& Q# h. e; I      if(count>9)
6 O2 i! n: k  J& v* l  M* V# r# w      count=0;
$ Y" k( d! j' @( M0 |    end2 i# [& n  x. o3 U
  end
7 x' |( m1 @/ d7 _$ z1 z1 R% mend) O; N9 K( r6 M% g6 ?  W
endmodule
+ Q. w/ d0 N$ G+ Q
1 c# ?) X/ ~# F+ {1 G$ V% Lbcdcounter_tb.v
! i/ ^  k& L% |( L  |: v: x! a
# W! E8 D  ]) C! ^# D& m8 ]3 ~" }module bcdcounter_tb;, ~# |" i/ u/ Q, r
reg clear,load,clk;
. t' q# }& ]( T1 n/ p7 E6 Creg [3:0] N;) ^' o# m5 D# ?1 R
wire [3:0] count;
4 o3 G# P; F; _
" G6 X. o4 W; F% w9 yBCDcounter m1(clear,load,clk,N,count);9 g+ l. v0 B" ?# k0 ~

2 N. @$ B. C( I* f& C; sinitial" D. j8 Z. [; P2 Z# y# h
clk=0;
0 |+ N$ D. H! R8 z& a- t& B* o4 F9 ?
0 _/ N7 u7 S1 Y, k+ [5 z$ Jalways #2 clk=~clk;/ c1 i' f6 @! y' ?* N% O" V( ^+ @' x

4 w' z7 ^% c1 z. z/ j: U8 X7 [0 oinitial# A. c% [% |* ^; b+ Q% |
#160 $finish;8 e% i! ~! G8 j: n% ]( B& z; Z6 y: O4 n
* N  t/ `, C+ t# [
initial begin
. X  F$ e7 ^7 i, r: i    clear=1;1 }1 s8 ^6 R, ]) D! L
#4  clear=0;9 g& x; C  q1 L3 E  _( O
#90 clear=1;5 S, j  ]- ?, k4 ^; D5 Z! Z- n
#3  clear=0;
" D% c' h  ?% i) ^9 d7 a. pend
- Q( Y" U. O8 k; T" p4 W  t! A7 h2 a9 N7 v* v8 o  k1 Y
initial begin8 H! X) F7 \- M8 b4 d' J* X/ N- _
     N=6;load=0;$ I1 e( ^0 U  B  t4 h  [3 K( F  L
#58  load=1;5 \1 [4 v, B# ^* E, [$ |9 l4 O: x
#2   load=0;: e1 O& {8 |; j! @+ ?$ N
#22  N=12;& w& ^& u! T; w
#40  load=1;9 |( I" l1 }* t8 ^0 |$ P! R4 P
#2   load=0;7 z9 z  X! j8 g8 m
end
# U- j& n$ e3 T+ {- ^8 v! z! c; K) p3 o6 h1 Z. A! N6 O
endmodule

評分

參與人數 1Chipcoin +5 收起 理由
tommywgt + 5 回答詳細

查看全部評分

3#
發表於 2007-8-28 10:56:04 | 只看該作者
還有一份講義可以參考看看
4 A# C8 r, n3 a7 Z希望對大大有所幫助4 G  L7 E# @% I9 a  [2 R

% g0 D  J7 c2 t4 z ) f/ T2 {  [  r  m  Q- k- |$ h

) D: V  d6 x/ H! S
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x

評分

參與人數 1 +1 收起 理由
ycs1977 + 1 謝謝小朱仔的資料 受益良多

查看全部評分

4#
 樓主| 發表於 2007-8-28 11:18:33 | 只看該作者
感謝小朱仔的熱心回覆& x) n9 }8 y% Z% u* v# P0 v7 |
不過我電路要自己畫 還有我不會用Verilog耶- \$ ~1 A7 o9 }
現在主要是要有電路圖 可以用Hspice模擬的那種
4 e2 s" e, l3 J6 V( P另外希望inverter越少越好 因為要求要省電! 還有要自己layout!2 y$ ]% b: [- B- {% W% G+ |

5 c2 {3 |( `5 Y樓上那份文件好像裡面有寫到 趕快研究一下! 感謝分享!% r8 a! i0 \8 W" S! M
  g* x+ |4 e) q; T
[ 本帖最後由 monkeybad 於 2007-8-28 11:23 AM 編輯 ]
5#
發表於 2007-8-28 16:05:34 | 只看該作者
你那個要自己動手畫CMOS電路吧... : `$ A5 F8 c* q" Y1 u
寫code習慣了, 真的很不想動手再做這樣的事, 不過推完booling equation後, 直接用Cmos畫出來不就好了???( Q1 s5 `9 t: Z. U1 w' f! b
沒錯吧
6#
 樓主| 發表於 2007-8-30 14:21:06 | 只看該作者
恩恩 不過booling eq好像是在推導一些輸入輸出的條件
- f/ C0 `# y5 n, V, ^$ {" A8 [
/ {" v* L1 S) v, c7 X4 b  v- P記數器參考一些資料後 找到一個用ripple counter下去修改的5 N0 ^0 g$ K/ w5 ^, w8 R
ripple counter就是用負緣觸發的T型正反器串接在一起 6 s; j, B. v3 {: z8 H
接著開始從0數到9 然後數到10的時候" w0 t  r! U2 ^! u& z
就reset重新從0在開始數
7#
發表於 2007-9-3 15:08:06 | 只看該作者
ripper counter真不是個好主意, 不過要看應用, 在有些地方用時倒是好東東...
8#
發表於 2007-10-23 11:19:59 | 只看該作者
我很好奇某大大說的講義
; |9 p0 L8 l6 K! E給我看看寫什麼吧
9#
發表於 2007-10-23 20:26:00 | 只看該作者
我這裡有時鐘的電路圖..以送去CIC下線..可提供給大大參考看看!
10#
發表於 2007-10-30 01:52:19 | 只看該作者
我看一看那個問題,要我聯想到CODE,一時還想不起來,真是太久沒有寫程式哩!
) `3 }& @. C, z) N4 O6 T) e看到CODE,有一點恍然大悟的感覺,又接著看,害我也想看那份相關資料囉!!
11#
發表於 2007-11-9 14:57:32 | 只看該作者
BCD conuter 應該是對自己有所幫助
12#
發表於 2007-11-14 23:29:59 | 只看該作者
bcd counter對初學者的我而言真的好難
9 t9 y( Q) i) \) {% a3 _" f$ j2 R$ p+ T謝謝小朱仔提供的code囉
13#
發表於 2007-11-17 16:58:54 | 只看該作者
大大別忘了給小朱仔 "感謝"7 G6 e  ~1 j) }- o& U& D& X8 H/ f
5 |; b7 I! W; j- n" o4 M
按評分, 就可以給感謝了....
14#
發表於 2008-2-22 17:34:54 | 只看該作者
Let see the shared material. THX.
15#
發表於 2008-4-24 07:28:10 | 只看該作者
幕讲义之名而来,呵呵勿见怪啦.                                              * k& z* k5 i& }; [2 A+ q& D
感谢
16#
發表於 2008-5-21 10:42:39 | 只看該作者

回復 3# 的帖子

感謝各位大大的資料 對初學者 真是受益良多
17#
發表於 2008-6-2 11:53:25 | 只看該作者
感謝大大的分享∼∼∼∼
- ^1 K# N4 H9 ~, H0 Y因為你的無私,讓大家能學到許多知識
18#
發表於 2008-6-2 22:10:25 | 只看該作者
我也想看看大大分享的講義~~
! Q9 u0 B& k& g回覆來看看囉!!感謝蛤!!
. p, c+ e2 W( q  K9 J因為我是初學而已!!
19#
發表於 2008-6-8 20:55:26 | 只看該作者
你是要畫電路圖的方式設計~  C, C) ?  [1 A8 |  D& |
那畫畫卡諾圖不就好了.... 只是比寫CODE還要麻煩.... % Y, a* E. e- b9 z. i8 i
因為要接邏輯閘!! ( _! ?$ I6 o/ n! a; ~
用卡諾圖化簡!!
20#
發表於 2008-7-25 14:41:52 | 只看該作者
最近剛接觸這方面的東西
) K; l1 s, j, V; d) y% V! E  B
( @- P7 `9 s, C! ]剛好需要這些資料1 L( w; Y  T+ s7 E- c
2 s6 u3 y$ ^6 K) H2 z1 @$ S; p9 A  M
感謝分享!!!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-8 06:10 AM , Processed in 0.170022 second(s), 24 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表