Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: jiming
打印 上一主題 下一主題

Altera推出業界首款65nm低成本FPGA

[複製鏈接]
41#
 樓主| 發表於 2008-10-28 09:58:28 | 只看該作者

Altera展示車內資訊娛樂開發平台

Altera宣布為開發資訊娛樂、導航、舒適和便捷、輔助駕駛系統的汽車設計人員和原始設備製造商(OEM)提供平台ASSP替換資訊娛樂系統(P.A.R.I.S.)。與Gleichmann電子研究股份有限公司聯合開發,P.A.R.I.S.平台實現了完整的開發環境,包括工具、矽智財(IP)和軟體,大幅簡化了車內系統的開發。10月20至22日在美國密西根州底特律Cobo中心舉行的Convergence 2008展會上,Altera將展示其P.A.R.I.S.平台。  , u* Z! i$ Y! a9 ]

5 c9 b" C: K, e; c+ }Altera採用現場可編程閘陣列(FPGA)架構的P.A.R.I.S.平台包括由多家第三方硬體和軟體供應商提供的、經過預先驗證的IP。採用P.A.R.I.S.平台,汽車設計人員將可很容易地擴展其汽車系統功能,並在多種車型之間實現FPGA系統的無縫移植。該方法大幅縮短了功能固定ASSP冗長而又高成本的設計週期。  
- Y+ j" [$ A: U
" `1 o. [  w; R3 c, zConvergence 2008出席人員能夠看見P.A.R.I.S. 平台演示,該平台在Altera Nios II嵌入式處理器上運行,並於Altera Stratix III FPGA中整合了資訊娛樂單晶片系統(SoC)。該平台具有液晶顯示(LCD)觸控圖形使用者介面(GUI),實現的功能包括串流編碼音訊檔案(MP3或者WAV)、音訊解碼、音訊路由和媒體導向系統傳輸(MOST)介面。P.A.R.I.S.平台的其他功能也將會被展示,包括道路偏離報警應用、3D圖形特性、多視角攝影嵌合應用、魚眼校正功能和單晶片類比叢集控制等。
42#
發表於 2008-11-6 11:37:52 | 只看該作者

Altera發佈Quartus II軟體版本8.1 延續效能領先優勢

為了進一步鞏固在CPLD、FPGA和HardCopy ASIC設計性能和效能上的領先地位,Altera日前發佈了Quartus II軟體版本8.1。最新版Quartus II軟體延續了該公司保持高密度FPGA最短編譯時間的歷史,根據內部基準測試結果,編譯時間比任何其他FPGA供應商的開發軟體都要快三倍以上。利用Quartus II軟體的增強特性,設計團隊能夠更迅速地達到時序逼近,降低功率消耗,減少研發成本,將產品快速推向市場。7 Q7 i. s7 x. V0 T8 ~( P; ?

4 z; k8 }+ t/ z+ a1 i) t4 |市場研究公司Gartner首席分析師Bryan Lewis表示,對於在新一代系統中使用最新深次微米FPGA技術的公司而言,管理設計時間和工程資源變得越來越重要。FPGA製程尺寸不斷減小,而且功能隨之增加,因此,設計團隊需要成熟可靠的工具來提高效能,以達到產品及時上市的目標。1 B5 g- n7 H) w1 ]7 [- k
6 F0 d5 W* z0 R0 }( L' [
Quartus II軟體版本8.1自動完成以前比較耗時的功能,從而縮短了開發時間。Quartus II軟體以前版本中的設計劃分規劃器目前在8.1版中可以自動完成劃分功能,使設計人員能夠充分發揮漸進式編譯的效能優勢。Quartus II軟體將閘級時脈自動轉換為FPGA架構所支援的功能等價邏輯,因此,不需要手動修改閘級時脈。便能夠自動完成這些功能使設計團隊能夠將精力集中在設計中更有附加價值的部分。: o& i1 P) G1 J! X

1 n, W4 o3 a9 Z另外,Quartus II軟體版本8.1為這些客戶提供更全面的支援,增加了Stratix IV接腳以及新的低成本封裝Stratix IV FPGA速率等級元件的支援。其軟體增加了對收發器時序模型的支援,並支援8.5-Gbps收發器、1.6-Gbps LVDS和400-MHz DDR記憶體。對於需要實現HardCopy ASIC的設計人員,Quartus II軟體提供HardCopy IV E ASIC的初步支援。
43#
 樓主| 發表於 2008-11-17 13:53:52 | 只看該作者
業界容量最大ASIC原型電路板採用Altera Stratix III元件 Dini集團採用FPGA架構的原型電路板ASIC邏輯閘數量超過5千萬個
! ^) ]9 L7 ^5 Y3 C5 }
. k2 V/ X4 ?9 `# ?5 ~* m; J* [Altera日前宣佈,Dini集團在其業界容量最大的單板FPGA原型引擎中,採用具有340K邏輯單元(LE)的Stratix III EP3SL340 FPGA。DN7020K10採用1,760接腳封裝的20顆EP3SL340 FPGA,每個元件提供1,104個用戶I/O,容量超過五千萬個ASIC邏輯閘。當客戶設計無線通訊、網路和影像處理應用等訂製ASIC時,能夠利用此超大容量原型電路板來驗證其邏輯設計,在接近即時時鐘速率的環境下運行設計。
1 {( l  X! }( C6 q6 w( D0 [4 U- z" W; r
Dini集團總裁Mike Dini表示,Altera Stratix III FPGA是目前容量最大、速度最快的FPGA,DN7020K10採用了20顆EP3SL340 FPGA,DN7006K10PCIe-8T採用6顆EP3SL340 FPGA,為大容量邏輯閘訂製ASIC開發人員提供快速實施方案。' E0 s. ]2 w. s- f) T
$ C  W5 k! B+ R& R: l
大規模ASIC設計人員現在能夠以接近最終產品的速率來運行其設計。DN7020K10包含輕鬆實現原型設計需要的所有特性,包括到達每顆FPGA的全局時鐘網路、6個DDR2 SODIMM記憶體插槽、寬範圍子卡、USB和快閃記憶體配置。
- V5 h; t/ T; E
" Y) b: K, R: zAltera Stratix III系列高階FPGA是業界功率消耗最低、性能最好、密度最大的65-nm元件。Stratix III EP3SL340具有340K LE,支援介面速率超過1067 Mbps的DDR3記憶體,並支援Altera創新的可編程功率消耗技術。這種高密度元件同時提供17 Mbits的嵌入式記憶體和575個18x18乘法器。
44#
發表於 2008-12-23 09:28:11 | 只看該作者

Altera開始發售40nm Stratix IV FPGA系列

Altera開始提供業界第一款40奈米現場可編程閘陣列(FPGA)晶片。針對通訊、廣播、測試、醫療和軍事等各類市場的客戶,Stratix IV FPGA在高階FPGA解決方案中具有業界最高的密度、最好的性能、最大的系統頻寬以及最低的功率消耗。推出的第一款元件是EP4SGX230,它含有230K邏輯單元(LE),工作速率高達8.5Gbit/s的三十六個嵌入式收發器,17 Mbits RAM及壹千兩百八十八個嵌入式乘法器。  
; f- M" X8 @/ b* o7 z& m0 g4 R/ J/ C0 o8 B5 ^! C
採用了40奈米製程節點技術,Stratix IV FPGA系列包括兩種型號產品,增強型(E)和收發器型(GX)。Stratix IV系列提供680K邏輯單元,邏輯容量是競爭高階FPGA的兩倍以上,性能比競爭解決方案高出35%。元件還支援速率高達1067Mbps的DDR3記憶體介面。Stratix IV GX FPGA具有以8.5Gbit/s運作的四十八個收發器,支援開發新一代寬頻通訊基礎設施。  
/ d8 E+ V/ Q% m+ w1 L
1 t4 G& t% f" x) g) ?; M7 xLeCroy的PSG市場副總裁Jim Roth表示,LeCroy選擇速率最高、邏輯閘數量最多的FPGA元件,幫助客戶實現豐富的產品功能。Stratix IV GX元件的高速收發器和記憶體介面,結合其大量的邏輯閘和I/O,使LeCroy能夠開發業界一流的新一代通訊協定測試工具。
45#
 樓主| 發表於 2009-1-20 11:11:48 | 只看該作者

艾睿電子、Altera和美國國家半導體公司 為北美客戶提供MotionFire馬達控制平台

採用FPGA架構的開發平台縮短了馬達應用的設計時間,提高了靈活性,節省了能源! U6 D9 S5 b; a

0 G5 w! V7 |7 @' `( P( Y0 S9 M2009年1月20日,台灣 ——艾睿電子公司(NYSE:ARW)、Altera公司(NASDAQ:ALTR)和美國國家半導體公司(NYSE:NSM)今天宣佈,針對北美客戶聯合推出MotionFire馬達控制開發平台。採用FPGA架構的MotionFire平台幫助工程師迅速且高效率地設計馬達應用,進行原型開發並測試,廣泛應用在各種工業、汽車、醫療、儀表和消費性電子設備中。+ R' n2 h- B( Z

3 O7 q" M& K( sMotionFire開發平台於2008年在歐洲開始啟動,該平台採用Altera Cyclone® III FPGA架構,實現了靈活的低功率消耗馬達控制環境,支援並連接多種工業網路通訊協定,降低了產品過時的風險。MotionFire包括FireFighter FPGA通訊基板和FireDriver馬達驅動器電源模組。平台還支援目前最新的馬達控制演算法和通訊IP,可以用於控制各種類型的馬達,包括步進馬達、伺服馬達,以及交流和直流馬達等,體現了FPGA在多軸馬達控制應用中的價值所在。
2 j& B% u# W) M- ^/ t3 U7 b" a3 X# J1 H7 [. ]: f% I7 x# e
艾睿電子公司供應商行銷副總裁Robert Behn表示:「在北歐成功啟動之後,我們非常榮幸地向北美客戶提供該技術。與Altera和美國國家半導體公司合作,艾睿公司進一步提高了馬達控制解決方案的性能和效率。」, N0 X5 V( j* _
0 i( i0 `6 k' C! z
MotionFire平台的FireFighter基板可連接6個FireDriver外掛電源模組或者12個馬達,提供馬達控制、使用者輸入╱輸出和即時乙太網路通訊功能。FireFighter基板上的Cyclone III FPGA能夠驅動多種通訊協定,例如,乙太網路╱IP、EtherCAT、Profinet、SERCOS III、CAN、USB、RS485等,以及其他新一代通訊協定。MotionFire平台還包括Altera Nios® II嵌入式處理器和馬達控制IP,支援數位編碼器和霍爾感測器馬達控制介面(含有VHDL原始碼),提供高階演算法來實現電流、速度和位置控制。  _, V; o+ m6 H$ K0 i/ ~/ j, r
- l. s2 X; c& W$ w: s* [: L
Altera工業╱基礎業務部總監Michael Samuelian表示:「MotionFire平台這一個倍受關注的開發環境,能夠幫助設計人員迅速且高效率地開發馬達控制解決方案。採用FPGA架構的MotionFire平台,設計人員可以設計出實用性很強而且不會過時的產品。」- y0 x7 H! q" Z6 X
. G/ {5 u8 D6 N
美國國家半導體公司全球市場副總裁Todd D. Whitaker表示:「美國國家半導體公司很高興能夠與Altera和艾睿公司合作開發這一個平台,幫助客戶提高系統的能源效率。」/ j% A1 j% N; u8 h' D+ r0 U) u, c

" q; f" G( c/ y% w$ k5 g' E8 |FireFighter基板和FireDriver電源模組可接受較寬的電源電壓範圍,美國國家半導體公司的PowerWise®電源管理產品滿足了數位電路的各種電壓要求。FireDriver電源模組結合Altera的MAX® II CPLD和美國國家半導體公司的高性能訊號通道產品(包括12位元類比數位轉換器和高精度放大器),完成馬達控制、類比訊號檢測和調整等功能。
5 a; Y2 e" K" N- `# ^  ?4 p; c" c3 I- C* J- \0 u( e4 s/ k0 L
供貨資訊5 ^" U+ O9 W$ V
現在已可透過艾睿公司向北美客戶提供MotionFire平台。艾睿公司提供完整的MotionFire開發套件,包括建立馬達控制系統需要的所有硬體、軟體和文件檔。如果需要瞭解詳細資訊,請瀏覽www.arrownac.com/motionfire
46#
發表於 2009-2-9 21:40:40 | 只看該作者
Altera發佈Stratix IV GTArria II GX FPGA拓展業界整合收發器全系列產品
. e* C: |2 N9 O9 P
Stratix IV GT FPGA:唯一整合了11.3-Gbps收發器的FPGAArria II GX FPGA:適用於需要3.75 Gbps的應用

7 r8 W. @+ z  T) q1 @

' r* ^& K% i% @1 u! F! n3 i5 W0 y- Q1 @" L- z+ I) V
2009
25日,台灣——為了繼續擴大在收發器技術上的領先優勢Altera公司(NASDAQALTR)今天發佈整合了收發器的兩款FPGA系列新產品。Stratix IV GX FPGAHardCopy IV GX ASIC增加了新的Stratix� IV GTArria� II GX 40-nm FPGA系列,進一步拓展了業界最全面的收發器FPGAASIC解決方案系列產品。Altera系列產品提供的收發器速率覆蓋了155 Mbps11.3 Gbps,滿足了從對成本敏感的視訊攝影機到超高性能骨幹系統等多種應用需求。9 Z, @! x2 f. t" K' P, p% M: h

3 `9 q- g3 J2 n6 }/ J) a% R/ ?Arria II GX
Stratix IV GTStratix IV GX FPGAHardCopy IV GX ASIC採用了通用收發器技術,由一套通用開發工具為其提供支援,幫助系統設計人員開發完整的單晶片系統(SoC)解決方案。這一系列產品同時實現了從16K邏輯單元(LE)到530K LEFPGA解決方案以及高達1150萬個ASIC邏輯閘的HardCopy ASIC解決方案。+ S# v7 ?3 M& ~$ g

2 P' ?$ J7 ^6 j: B採用可編程架構以及整合可編程收發器,設計人員能夠靈活地應對難以預測的設計需求。Altera收發器技術提供了使用方便的訊號完整性功能,加速了產品開發,而功率消耗遠低於競爭解決方案。
" R. ?% _- C8 ]2 N# q' A- A. l1 K( l; q7 y+ k( v# `
Altera公司產品和企業行銷資深副總裁Danny Biran表示:「Altera對收發器FPGAHardCopy ASIC系列產品的拓展,滿足了市場對全套收發器解決方案不斷增長的需求。由於每一種應用在性能、功率消耗和價格上都有自己獨特的需求,因此,Altera開發了這一系列產品,提供最佳解決方案,以滿足多種應用需求。這些產品具有優異的訊號完整性,最低的抖動規範,支援大量的高速I/O通訊協定,對於需要收發器的系統,大幅減輕了設計人員所面臨的挑戰。」0 i. {5 A/ @6 w- ^$ ]1 r$ l& q
' V# J  j5 I# \6 I
Stratix IV GT不但是業界性能最好的FPGA還是第一款整合了11.3 Gbps收發器的FPGA。其架構專門針對40G100G應用進行了最佳化,例如,通訊系統、高階測試設備,以及軍事通訊系統等。Stratix IV GT FPGA24個收發器工作速率高達11.3 Gbps,另外24個收發器工作速率為6.5 Gbps,實現了業界最大的頻寬。Stratix IV GT還提供530K LE20.3-Mbits內部RAM1,28818 x 18乘法器。如果需要瞭解詳細資訊,請瀏覽www.altera.com/pr/stratixivgt
  z0 k  _# Z  C! ]
1 X# a. h3 a3 q# K# J  H" k, GArria II GX是功率消耗最低的3.75 Gbps收發器FPGA,對於使用PCI ExpressPCIe)和Gigabit乙太網路(GbE)等主流通訊協定的應用,其成本非常低。該元件具有163.75-Gbps收發器、256K LE8.5 Mbits內部RAM。此外,Arria II GX FPGA還支援目標通訊協定,例如LTEWiMAX無線基礎存取設備的CPRI,固網基礎存取以及網路設備的GPONXAUI,廣播和其他視訊處理設備的三速SDI等。所提供的系列參考設計和設計實例加速了Arria II GX FPGA解決方案的開發。關於這些新元件的詳細資訊,請瀏覽www.altera.com/pr/arriaiigx/ o* A- w8 Y* |% V; `
( n% h8 W$ K( a  `' x3 |% c6 G: v
Altera收發器系列產品的FPGAHardCopy ASICAltera新的Quartus� II設計軟體版本9.0提供支援。該設計軟體為所有FPGAASIC產品提供了統一的工具套件,一組IP和通用收發器技術進一步使其更為完整。總之,這種方式達到了「一次學習,隨心使用」的效果,提高了系統設計人員的效能,同時縮短了產品面市時間,減小了工程開銷。為方便收發器整合和電路板設計,Altera還提供全套工具,包括預加重和鏈路估算(PELE)工具,電源分配網路(PDN)工具,早期同時開關雜訊(SSN)估算器,以及SPICEIBIS模擬模型和電路板設計指南文件等。8 [( e* k- P+ l  I! k  }* c

$ N" }; _; p' _# e: EAltera在現有的Stratix IV GX FPGAHardCopy IV GX ASIC基礎了上推出了這兩款FPGA系列新產品Stratix IV GX FPGA是業界第一款也是唯一一款40-nm FPGAHardCopy IV GX ASIC是風險最低的收發器ASIC,設計人員可以採用Stratix IV GX FPGA系列進行原型開發,無縫移植到HardCopy IV GX ASIC,為其訂製邏輯需求提供整體成本最低、風險最低、產品面市最快的解決方案。如果需要瞭解詳細資訊,請瀏覽www.altera.com/pr/40nmdevices7 A% t7 ~1 l% N, r6 s" a

9 ~( P- B( \6 q' H& W, U0 T! H價格和供貨資訊" a6 @" e2 @5 a, H: j/ J
        現在已經開始發售Stratix IV GT元件。請聯繫您的Altera�業務代表,瞭解價格資訊。8 i+ R. V, L0 d7 m2 R
第一款Arria II GX元件——EP2AGX125,將於20095月發售,計畫2009年第四季推出產品級元件。預計2010年,最小的元件EP2AGX20起價只有15美元(100K片)。所有Arria II GX元件將由20093月推出的Quartus II設計軟體版本9.0提供支援。
, n, ]( _. T2 {+ Y0 i) c% J
/ A* m* D4 I8 y/ h' U8 ?% y( b[ 本帖最後由 heavy91 於 2009-2-9 09:51 PM 編輯 ]
47#
發表於 2009-2-9 22:04:36 | 只看該作者
原帖由 chip123 於 2008-11-6 11:37 AM 發表
. i9 R; s( R$ ?1 ^/ K) d% _$ v為了進一步鞏固在CPLD、FPGA和HardCopy ASIC設計性能和效能上的領先地位,Altera日前發佈了Quartus II軟體版本8.1。最新版Quartus II軟體延續了該公司保持高密度FPGA最短編譯時間的歷史,根據內部基準測試結果,編譯 ...

' m9 r' t" u" p; g
5 e3 t. Z+ u6 k/ ~1 c  |( C( K
3 E( e( ]# e5 `7 `: H6 w
Quartus II軟體版本9.0進一步鞏固了Altera收發器FPGAHardCopy ASIC系列產品的效能優勢
# [2 k6 J5 N% T8 N% y: a

" f1 r; }: a0 v' _+ ~6 J- m200925日,台灣 ——繼續致力於提高元件性能和設計人員的效能Altera公司(NASDAQALTR)今天發佈Quartus II軟體版本9.0——業界領先的CPLDFPGAHardCopy ASIC開發環境。9.0版全面支援Altera的收發器FPGAHardCopy ASIC系列產品。這一個最新版Quartus II開發環境進一步增強了功能,幫助客戶以更低的工程投入,更迅速地將Altera解決方案推向市場。
% Y8 T, V: v' s
9 |% B% l6 `: v5 f. e! d% x

0 n% J( v8 T' a3 _        客戶只要採用Quartus II軟體版本9.0這一種統一的設計環境,便可以完成Altera CPLDFPGAHardCopy ASIC全系列產品的開發。最新版支援Altera最近發佈的Stratix IV GTArria II GX FPGA。如果需要瞭解Altera收發器系列產品發佈聲明,請瀏覽www.altera.com/corporate/news_room/releases/2009/products/nr-transceiver-portfolio.html" k2 ?9 _# Q2 R( V6 n
, g" s( b% R# h% K3 W/ _5 \
8 }; M) _+ C! l5 ^

6 ]( G2 o# |% [, v# s. X0 x) jQuartus II軟體版本9.0版的新增功能包括:

  l5 E  c5 i7 C5 G·新的SSN分析器工具——提示設計人員在接腳分配期間可能出現的同時開關雜訊(SSN)違規,更迅速地實現電路板設計,提高訊號完整性。
8 `0 w6 `) p" B+ r. X8 H( v4 j( p 6 L( d( h+ Q4 K4 q
·增強SOPC Builder——SOPC Builder的資料表產生器簡化了硬體和軟體工程師之間的資訊傳遞,Quartus II軟體進一步提高了效能優勢。此外,SOPC Builder增強了GUI,大型系統在顯示時將更加清晰。
' E& x/ O( r2 e* l5 g& Z: @. R% p% c: i2 a3 ]6 {$ k! \0 j
·亞穩態分析——提供工具來自動識別可能出現的亞穩態電路問題,自動報告平均故障間隔時間MTBF,這些功能都整合在TimeQuest靜態時序分析工具之中。2 a& h% B) L2 z* b' G# u; _
( U5 E8 `- b# H5 A' r
·增強型接腳規劃器——接腳規劃器提供新的時鐘網路查看功能,幫助設計人員更好地管理時鐘資源,提高效能和性能。& r9 u9 e1 j0 n# a% S1 Z1 y/ a
www.altera.com/pr/quartus2/whatsnew上還提供Quartus II軟體版本9.0其他功能的詳細資訊。
" R: H2 p- Q9 L% h- e7 }5 P  A8 U+ b! ]0 y+ ?) c: f3 \* U/ i" r
進一步提高了功能模擬支援在發佈Quartus II軟體版本9.0的同時,Altera還推出了ModelSim Altera入門版軟體。這一個功能模擬器針對Altera客戶進一步增強了功能,替代了ModelSim Altera網路版軟體,模擬速度提高了50%,支援所有的Altera元件,支援LinuxWindows Vista平台等作業系統。ModelSim Altera入門版軟體整合在Quartus II軟體訂購版中,Quartus II網路版客戶也可以免費使用該工具。6 E) m! ~  W, c) C3 V, b! P" k
0 G0 a8 {- K/ w+ @
對於需要全功能模擬環境的設計人員,Altera現在專門為其提供ModelSim Altera版軟體,該軟體以前只能透過Quartus II軟體訂購獲得。和ModelSim Altera入門版軟體相比,ModelSim Altera版軟體模擬速度提高了33%,對設計規模沒有限制。/ F. O* }, [1 p
+ L* j9 a" Z- ~4 u; s8 r
Altera軟體、嵌入式和DSP市場資深總監Chris Balough表示:「Altera一直致力於為工程師提供最全面的高效能軟體環境。這一個最新版Quartus II軟體延續了我們在交付開發工具上的良好記錄,不斷縮短設計時間,提高元件性能。」9 A6 \3 a' m% W' b8 R3 M0 g

: t) i! Z5 c0 G& m5 j: M
價格和供貨資訊
. Y4 }* [1 K! A) b" b( I7 Z, s現在便可以下載betaQuartus II軟體版本9.0。在200939Altera將發佈Quartus II軟體版本9.0產品版、ModelSim Altera版和ModelSim Altera入門版軟體。ModelSim Altera版軟體單機價格為945美元。Quartus II軟體訂戶可以收到ModelSim Altera入門版軟體,以及IP基本套件的全部授權,它包括11Altera最流行的IPDSP和記憶體)內部核心。一個節點鎖定的PC授權的年度軟體訂購價格是2,495美元,客戶可以從Altera eStore或者授權經銷商那�購買。
$ D2 z5 Y/ r0 A& v8 u- |5 A8 X
) x0 P7 a; X) e; S4 G4 h[ 本帖最後由 heavy91 於 2009-2-9 10:15 PM 編輯 ]
48#
 樓主| 發表於 2009-3-10 14:58:47 | 只看該作者

Altera Stratix IV FPGA榮獲《今日電子》年度產品獎

Stratix IV系列一直在全世界廣受好評; P- u/ Y' e+ H  e& e
: h& U0 Y* r  _* m6 K
2009年3月10日,台灣——Altera公司(NASDAQ:ALTR)今天宣佈,其40-nm Stratix IV® FPGA系列榮獲《今日電子》雜誌頒發的「年度產品獎」。Stratix IV元件系列自從2008年3月發佈以來,這已是第六次獲獎。6 P( P. Z6 Q1 a
" x  ^* H7 l+ E6 D  {6 r9 k/ c( o
《今日電子》的「年度產品獎」每年評選一次,頒發給在技術和創新設計上有顯著進步的產品,或者和競爭元件相比有明顯性能價格比優勢的產品。Stratix IV FPGA在密度、性能和功率消耗上居於市場領先地位,是很多市場高階數位應用的理想方案,例如無線和有線通訊、軍事和廣播等。* W" Z; y) h; u# k* U

+ l1 _( \7 \" x3 U《今日電子》執行總編崔曉楠評論表示:「Stratix IV FPGA在密度、性能和低功率消耗上遙遙領先。這些元件按計劃發售,能夠幫助客戶滿足很多市場上的各種高階應用需求。Stratix IV FPGA獲得該獎項是眾望所歸。」
: [& R3 r# t& @& h
$ i* D3 {. C! uStratix IV FPGA有三種型號,增強型(E)、收發器型(GX)和(GT)型。該系列提供680K邏輯單元、22.4 Mbits內部RAM和1,360個18x18嵌入式乘法器。元件還採用了最新的架構創新和製程技術,在所有高階FPGA中,性能最好而功率消耗最低。
% O( V3 [: N6 f7 v6 c' x
9 l, |7 F9 j& s8 @* \' rStratix IV GX FPGA具有48個運作在8.5 Gbps的收發器,為新一代寬頻通訊基礎設施開發提供支援。Stratix IV GT FPGA專門針對40G和100G應用進行了最佳化,提供48個數Gigabit收發器,以及24個11.3 Gbps收發器。Stratix IV GX FPGA還為PCI Express Gen 1和Gen 2(x1、x4和x8)提供4個硬式矽智財(IP)內部核心,Stratix IV GT FPGA為PCI Express Gen1和Gen2(x1和x4)提供一個硬式IP內部核心。這兩種型號都支援多種通訊協定,包括Serial RapidIO®、40G/100G乙太網路、XAUI、CPRI(包括6G CPRI)、CEI-6G、GPON、SFI-5.1和Interlaken等。
49#
發表於 2009-3-18 15:19:31 | 只看該作者

Altera發售業界密度最大的收發器FPGA

此次發售樹立了成功推出Stratix IV FPGA系列的最新里程碑& x/ m/ D9 i3 R9 J9 o

# a& P7 b/ w1 i2009年3月18日,台灣——Altera公司(NASDAQ:ALTR)今天宣佈,開始提供業界密度最大的收發器FPGA晶片。做為Altera® Stratix® IV GX FPGA系列中發售的第二個型號元件,EP4SGX530比市場上最大的收發器FPGA密度大60%。該元件提供530K邏輯單元(LE),48個工作速率高達8.5 Gbps的收發器,20.3 Mbit RAM以及1,040個嵌入式乘法器。Stratix IV GX元件可滿足通訊、廣播、測試、醫療和軍事市場等多種應用領域的需求。
; O* z  d- p2 X* r, @
& h: z0 t( Q- r% H2 P, B8 s3 rAgilent邏輯和通訊協定測試工具行銷經理Jun Chie表示:「Agilent致力於為新出現的高速互聯應用提供測試設備,以加速這一個應用的啟動和除錯階段。Agilent能夠不斷滿足業界大公司的需求,高性能Altera Stratix IV FPGA及時供貨在這方面發揮了重要作用。」& T8 X, u# T' h  B3 v

. I) S7 B  h3 f: ]Stratix IV GX FPGA為PCI Express Gen 1和Gen 2(x1、x4和x8)提供4個硬式矽智財(IP)內部核心,還支援多種通訊協定,包括Serial RapidIO®、40G/100G乙太網路、XAUI、CPRI(包括6G CPRI)、CEI-6G、GPON、SFI-5.1和Interlaken等。關於Altera Stratix IV FPGA領先於市場的各種特性的詳細資訊,請參考www.altera.com/pr/stratix4
0 y: G& c4 s5 D* T. q, V! A0 y4 \- H" t2 |* K7 R* j3 q
TPACK產品線管理副總裁Thomas Rasmussen表示:「在通訊基礎設備市場上,最關鍵的是能夠迅速交付符合最新標準的創新解決方案。靈活的Altera Stratix IV GX FPGA具有低功率消耗特性,提供大量的序列介面,使我們能夠迅速開發並交付TPX4004——業界第一款40-nm營運商等級的乙太網路交換器。」$ O" p+ P. B8 i( S0 x( ]
% f4 L' N% W4 f; S1 _& c# C6 x  Q2 v
Altera公司零組件產品行銷資深總監Luanne Schirrmeister表示:「市場迫切需要頻寬更大、功率消耗更低的解決方案。客戶的大量回饋表明,在IP視訊傳播、4G無線和數位電視等下一代應用中,Stratix IV GX元件實現了資料速率、功率消耗以及頻寬和資料處理能力的完美結合。」' X4 X. k$ k: E9 f* Q& U
8 f1 B# O- L, ~
Stratix IV GX FPGA供貨資訊
2 `2 c7 _. F! F6 Z1 A) U) Z目前已經開始發售Stratix IV GX EP4SGX530和EP4SGX230元件,計畫於2009年發售其他系列型號。
50#
 樓主| 發表於 2009-4-14 11:43:45 | 只看該作者
Altera Arria GX FPGA支援松下P2驅動器更快地傳送視訊
9 ?/ T# s, B! ]* F4 F8 X* b/ N9 w/ Y( h* ?* J
Altera Arria GX FPGA結合Altera PCIe MegaCore IP,幫助專業廣播人員更迅速地完成工作
9 l7 |- X+ z; K: a2 o6 N$ y% u' T
2009年4月14日,台灣——在強調準時高效率的廣播行業,每一分鐘都至關重要。Altera公司(NASDAQ: ALTR)今天宣佈,為了提供基礎技術以加速視訊傳送過程,松下公司在其新的AJ-PCD35、5槽P2(無磁帶格式)記憶卡驅動器中選用了Altera的Arria® GX FPGA、PCI Express(PCIe)MegaCore® IP和Nios® II處理器解決方案。% e9 K+ f/ v/ F( ]# q
# [9 C8 G0 ?; C
松下公司新的記憶卡驅動器使用了PCIe介面,提供從P2卡到非線性編輯系統的視訊讀寫功能,同時可以從多個記憶體卡傳送資料,與傳統驅動器相比,大大提高了傳送速率。松下AJ-PCD35可以直接讀寫5個卡的內容,不但幫助專業廣播人員提高了節目內容的數量和品質,而且滿足了時限要求。4月20日到23日在美國拉斯維加斯會議中心舉辦的2009 NAB(全美廣播協會)展上,松下公司將在C3712展位展示這一個解決方案。
0 L) W: x5 x* ^+ n4 z在核心功能實現寬頻視訊處理的基礎上,Altera Arria GX FPGA還提供優秀的CardBus至PCIe橋接解決方案,將高畫質視訊內容從P2記憶卡迅速傳送到編輯設備中。靈活的Nios II處理器支援5個P2插槽,從而提高了資料儲存能力。
, p- w4 a5 G( ]# h' }, r6 n8 g
1 d( V3 E& [5 l( |2 T( K8 f松下公司專業AV系統業務部經理Masatoshi Taniguchi先生表示:「在廣播行業,交付時間越來越短,而對高品質影像的要求卻越來越高。我們新推出的AJ-PCD35 P2卡驅動器構成了松下公司完整的下一代後期製作系統,設計用於幫助專業廣播人員更迅速的進行編輯。Altera的Arria GX FPGA結合使用方便的PCIe IP和業界一流的Nios II處理器,提供了高性能價格比解決方案。考慮到Arria GX FPGA的功能以及Altera在按時交付高品質產品上的聲譽,我們選擇了Altera。」$ ?, [* l, A2 x  \+ n

1 o; |9 n, w0 V; p5 T  n2 c* mAltera零組件產品行銷資深總監Luanne Schirrmeister表示:「Altera Arria GX FPGA在功能上非常出眾,其收發器具有可靠的訊號完整性,而且價格合理,適合大批量3-Gbps應用。越來越高的收發器速率、擴展通訊協定支援以及不斷降低的功率消耗,這些都使得Altera Arria GX系列在專業廣播系統中發揮了更重要的作用。」
51#
 樓主| 發表於 2009-4-21 12:00:49 | 只看該作者
Altera交付Stratix IV GX收發器訊號完整性開發套件
4 [. n7 b, @. K
7 p3 u" o) {8 w2009年4月21日,台灣 ——Altera公司(NASDAQ:ALTR)今天宣佈,開始提供Stratix® IV GX版收發器訊號完整性開發套件。這一個全功能硬體開發平台搭配了Altera® Stratix IV GX FPGA,其收發器工作速率高達8.5 Gbps,使工程師能夠迅速方便地進行原型開發、測試高速介面。透過這一個套件,客戶可以根據自己的設計系統需求,來試用Altera新的40-nm Stratix IV GX FPGA。
; l( R& z. N  g3 [1 W7 `5 G( O. v2 A. I9 ?& `+ X
訊號完整性是數位視訊和4G無線等寬頻應用的關鍵設計要求。Altera FPGA一直保持了優異的訊號完整性,使用者可利用這一個新套件,透過簡單易用的圖形使用者介面(GUI),在8.5 Gbps速率下,針對抖動、通訊協定相容性和等化功能來評估收發器的性能。) M) L* M! R3 _3 z7 Y1 Y
        Stratix IV GX版收發器訊號完整性開發套件包括:
  v. |# }* Y; C1 s, J. R•        Stratix IV GX訊號完整性開發板:* L; h5 i. h* ]1 K# o8 l
o        EP4SGX230KF40C3N或者EP4SGX230KF40C2N電路板內建FPGA$ E7 a8 G3 s2 t* ^
o        與SMA連接器連接的8個收發器通道
7 a3 [3 K! v/ R8 V$ x2 Fo        用於儲存FPGA鏡像的快閃記憶體
6 C. r( f  A9 S. W& T+ Go        用於進行FPGA配置和控制的嵌入式USB-Blaster™
2 @& X* U% ^% N7 ?' D5 no        電源測量電路4 ?% s3 W0 q) l4 I3 A( f
•        應用軟體GUI:( Y( C: N# W0 D- ~8 }, b* x  n% i
o        支援使用者改變收發器設置和資料碼型
+ O8 G7 X, j  j9 S! ^) ?' I( bo        顯示每一個收發器通道的誤碼率0 p0 n6 u* C; f/ s5 V
o        顯示溫度和功率消耗資訊; R2 k7 h! J3 {( F! N6 h0 i- E# T( K

1 W8 q  ?0 q% Z+ wAltera零組件產品行銷資深總監Luanne Schirrmeister表示:「Stratix IV GX訊號完整性套件的發表,標示著Altera在開發業界首款40-nm FPGA過程中的另一個成功里程碑。在今後幾個月間,Altera還將交付更多的40-nm元件和開發套件,繼續推動VoIP、4G無線和數位電視等下一代市場的發展。」' Z7 |! ?8 w: c1 P

6 r4 [/ v+ l4 `2 M% @供貨資訊; c8 Q& `3 K% k$ L% j: s) V
目前已經開始提供Stratix IV GX版收發器訊號完整性開發套件,4SGX230N/C3套件的價格為2,495美元,4SGX230N/C2套件的價格為2,995美元。
52#
發表於 2009-5-21 13:16:57 | 只看該作者

Altera交付Stratix IV GX收發器訊號完整性開發套件

Altera日前宣佈提供Stratix IV GX版收發器訊號完整性開發套件。這一全功能硬體開發平台搭配Altera Stratix IV GX FPGA,收發器工作速率高達8.5 Gbps,使工程師能夠迅速方便地進行原型開發、測試高速介面。客戶可根據自己的設計系統需求,來試用Altera新的40-nm Stratix IV GX FPGA。
; c. |( E) B. d! E5 g8 O. x6 N
% j5 y  h9 M; C& Y# {! Z' l訊號完整性是數位視訊和4G無線等寬頻應用的關鍵設計要求。Altera FPGA一直保持優異的訊號完整性,使用者可利用這一個新套件,透過簡單易用的圖形使用者介面(GUI),在8.5 Gbps速率下,針對抖動、通訊協定相容性和等化功能來評估收發器的性能。0 T' L" U0 L+ C" E' t

! k# o& W- Q: u$ CStratix IV GX版收發器訊號完整性開發套件包括 Stratix IV GX訊號完整性開發板,EP4SGX230KF40C3N或者EP4SGX230KF40C2N電路板內建FPGA、與SMA連接器連接的8個收發器通道、可用於儲存FPGA鏡像的快閃記憶體、可用於進行FPGA配置和控制的嵌入式USB-Blaster、電源測量電路。另外Stratix IV GX版收發器訊號開發套件還包括應用軟體GUI,其可支援使用者改變收發器設置和資料碼型、可顯示每一個收發器通道的誤碼率、可顯示溫度和功率消耗資訊。
53#
發表於 2009-10-14 14:28:23 | 只看該作者
Altera增強了MAX II系列進一步拓展CPLD
% N7 w: D) c% r1 b6 F( H
# N- G4 Q1 e$ e

. z9 V2 L) |8 w9 T/ i. P
2 \: e$ \3 M3 q* e# d
Altera現在提供功率消耗更低的工業級MAX IIZ CPLD元件

$ F- c$ \: }/ j0 [: a9 W6 s$ p4 g5 L& G8 D' y) l8 Z

  N0 m; h( o5 ^0 n8 n4 W, |0 k7 B- m3 N! |: S
20091013日,台灣Altera公司NASDAQALTR今天宣佈提供功率消耗更低的工業級溫度範圍MAX IIZ元件從而進一步增強了MAX II® CPLD系列。MAX IIZ CPLD完美的結合了邏輯密度、I/O和小外形封裝,靜態功率消耗降低了55%,非常適合低成本和低功率消耗應用。這些新功能拓展了MAX IIZ CPLD的市場應用,例如工業電腦和辦公自動化醫療消費性電子等領域。

: {1 X; u4 {! G% v. O2 g, d: [, Q% H2 u8 {9 C; L' @
Altera
公司零組件產品行銷資深總監Luanne Schirrmeister評論表示:「Altera第一次在市場上推出MAXIIZ CPLD主要是針對可攜式和掌上型設備。透過提供功率消耗更低的工業級溫度範圍MAX IIZ CPLDAltera幫助設計人員減小了電路板面積和功率消耗,進而降低了實際應用的成本,而這些應用是MAX IIZ元件以前未曾涉足的領域。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-8 02:21 AM , Processed in 0.168021 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表