Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 53725|回復: 51
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
跳轉到指定樓層
#
發表於 2007-8-28 10:40:06 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
如題
+ `, c# l0 X9 c小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
9 \+ x5 o8 C: K5 P如果不是麻煩版主修改一下囉: G" A1 ~! \9 ^; ^# m. `2 T( b
, a$ q- W8 i& B2 E8 C8 a
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數
8 K* C/ q' U* j1 ^7 u( o總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5
& r2 _, {8 J( q& b6 t- ]$ F! X6 x  B% _8 V
請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?- b( U9 Y: p( ]: \/ e% f( g0 z
謝謝!

評分

參與人數 1 +4 收起 理由
kuannan + 4 謝謝小朱仔提供的code囉 對初學者 真是�

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
51#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料
- a* G- D2 h' t; I5 q! G2 [謝謝大分享的講義3 |1 R0 O) P8 @# Q+ l$ K: H' X
回覆來看看囉!!% v( v/ }; x; X" w  Z6 A% ^3 n
感謝
0 Q. h( r; }- K" L( B" C
50#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
8 l  {5 @: K% h% R: ]這應該邏輯閘超多
49#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩
! F# Y7 K" C! Z
48#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料
& Q% O1 ~# s3 ?7 t9 r* i) B) G$ J) I5 Y. i$ v+ @& k4 F
感謝分享!!!
47#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
46#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
& A2 ~2 @; }( `6 P% n1 e
45#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!! X" z- H4 c  j, N5 }+ w$ M# G
! Q: [" T" ^; J8 {
) w6 _. \& Y% N3 ]
7 u& o1 |6 L7 t7 F' n( l

* e9 U8 k7 v5 u4 \! X
' u9 c6 R' i1 ~/ o. @0 i  l8 t8 B) G4 W0 }0 V% Y
0 c/ w7 ?( S  K9 h

$ I: s2 w7 B2 a) V: C, R: G8 ~6 |
: N( x3 ^2 f, a+ U2 d6 g& ^# |0 H. Y

/ Q9 H. w/ G2 }  Q$ ?) [; ]; Wbjcars.net
3 _8 X' X- L! w8 y
- q. b, t9 ?& E! {2 N6 `1 H$ m" X" W% v3 r. l; Y, Q6 ^

1 F# y2 q( W; u1 L& g0 @4 W
+ T! Z4 Z  s7 v* B0 O# z
; T8 I+ \! e9 S% Q* R$ r" Z/ e, D) h; t

. r: K7 F4 |; E+ y5 L. W7 ?1 p* e* ~! B

' t: V: g9 h/ @) k3 H, a5 L3 M% Y2 O- K6 ]

5 u0 W& X# C) ~+ r6 e! T. l8 M/ y; i- o
北京搬家公司电话
44#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話! N1 x+ l+ ^- p5 V: H
可以上網找有沒有verilog code參考$ \0 K' O: x  o# s/ Q3 A
然後合成電路後再參考tool畫的電路來畫
43#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔
+ b: R' E" G, x& ?8 }  B  B+ b7 z, ?1 l

: |6 j4 R7 @* U' J& b7 v( C   感謝您的分享,讓小弟多一份資料可以研讀。
42#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助
' M, Z, n8 i$ B感謝分享!
41#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個6 J! D: H' u$ u" Q$ D
輸入:clk, rst. r" c7 V$ K3 S9 c* o. N
輸出:tens(十位數), units(個位數)4 C, {5 Z& [" p5 D8 b% h

( f& y/ P- n- x% ?! n( Icode : ) R+ Y$ p' s; X: {# ~# x

+ s  [6 ^* C$ ]& ]4 {module BCD(clk, rst, tens, units);2 j- p8 m# D0 j) _8 P) ~: }8 C
  input clk, rst;
) B5 F- f& P2 I5 p/ E" N9 O  output [2:0] tens;
( a# M5 ^; C' y1 j, r+ J  k  output [3:0] units;
; ^+ B# L/ m1 @9 Y) y/ P+ |  reg [2:0] tens, xtens;# e) x+ i+ u- |5 ^  e$ \# C
  reg [3:0] units, xunits;: d8 l) _3 k! z/ R1 b! \
& ?/ ?$ C. \" J7 j; N9 P
  always@(posedge clk or posedge rst)) ^/ e, E, @/ C! I# M5 I
  begin! A# u% J, ]; c& s) k
    if(rst)) a, Y) R' z  \+ w, v/ i9 m
    begin1 r- L1 Y- y8 v# _" i1 ^
      tens <= 3'b0;. I6 Q. |9 M! e1 s8 J+ V) d# X
      units <= 4'b0;
- d+ J1 N( K! ]6 W6 G    end  n7 ~0 ]- `8 m2 t; U3 X
    else
& G5 {& }  y" j: v    begin
  S+ E) w" w7 @5 l      tens <= xtens;8 E) Q: K& N" p/ H7 D
      units <= xunits;' j4 ]( q  q4 ~8 ?- U
    end' U1 x+ u# M! s/ w$ B; J- P! N& ^
  end) h3 e6 S8 u& R" _# u  b" S
) o& N# D$ C1 l4 E( S' S7 I
  always@(tens or units)
7 D! P- X, s; s  begin8 A- N# P( c. |' _4 T% E
    if(units == 4'd9)8 U1 v7 v+ J) `# u
    begin7 d4 A! B+ h" T" X- L
      xunits = 4'b0;
( z9 [' m! m* L! w  J7 r; @      xtens = tens + 1;
/ |/ ]  A: D; @      if(tens > 3'd5)
7 B7 h: X9 n) l+ m$ h. k% F        xtens = 3'b0;( _& G: @& I! w' A& v
      else/ T9 v) t$ P7 w, G$ G: ]- v
        xtens = tens;
2 J! L# E. L# c/ c    end
0 R- t) t6 N; o, w& \: ?# E, W. R    else
  w5 F! |6 I0 Y" H+ V3 v    begin
/ I" N# ?& L" }" d( ?; j7 x8 }      xunits = units + 1;1 x0 ?" D) R; X- h0 B9 v% h
      xtens = tens;
8 K& G+ G# @7 f6 D    end) e% A: q# y, Q$ B
  end9 Q4 m5 T: T4 W7 O; J& M6 l1 c0 |
endmodule
40#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用: U: G$ [7 ^  H. j# ^. t/ c
感謝大大分享
39#
發表於 2011-3-7 23:03:11 | 只看該作者
剛接觸這方面不久~還是個小新手
3 a. e; `1 O/ e: l5 L- b; Z還有很多不了解的地方3 A3 G! [- e6 |: x
這些資料幫助不小~' N# u0 h& U4 }! |! E
感謝分享~
38#
發表於 2010-8-18 16:32:36 | 只看該作者
感謝大大的分享4 v) R0 ?- G* @2 ~9 t# O7 B9 U
剛好要需要用到這份資料
37#
發表於 2010-7-21 12:06:26 | 只看該作者
最近在寫控制Memory
" ]1 _5 |& I. n, g/ ^也在想怎麼寫個counter來計算已經存取的個數& B4 S2 w& s  c& a+ a; X- m/ B" b
以便進入下個狀態
; j3 c1 R" h- a* f1 n1 X謝謝2樓分享
36#
發表於 2010-7-2 20:18:29 | 只看該作者
正在學習fpga感謝大大分享
35#
發表於 2010-3-18 10:46:41 | 只看該作者
我也想看講議....$ c% Y: C* o! h0 G: D1 G$ h
準備步入職場的初學者~"~
34#
發表於 2010-2-7 14:35:30 | 只看該作者
回復 1# monkeybad
& F$ a0 v1 Z" W0 `; c6 s. \. R' Y; v) m) v
感謝小朱仔提供的code!!
33#
發表於 2010-1-31 23:36:31 | 只看該作者
B C D 編碼器雖然後容易,但是是進入FPGA的一個小小程式/ v  y7 k6 x2 W1 B8 O. @
還滿有趣的
32#
發表於 2010-1-24 14:33:52 | 只看該作者
我也想看看講義寫了些啥~
1 z, U4 a6 S6 Q% I, I. D3 Q% ^9 o& V8 u- H
感謝分享~
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-9 04:21 PM , Processed in 0.162020 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表