Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10863|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上* M5 J2 x0 `* r1 ?, Y, n9 }1 _
verilog中讀取BMP檔8 W- s! b1 M) b- a$ A5 j
程式碼如下:
  1. module test;
    / G' ?- b+ j* `; K. S+ H! |3 H3 ^
  2.   integer fileId, i, cc;
    8 }1 _/ X  {/ J
  3.   reg [7:0]  bmp_data [0:2000000];
    # h, x& X% I) ]' x
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;5 p9 k9 p: ]7 a' _: f+ y. x

  5. ( i4 b2 m2 W% \2 \3 h  a
  6.   initial begin* A3 j" H8 A1 `4 O8 s& F
  7.     fileId = $fopen("gray.bmp","rb");3 c0 m+ O% ^: h% j- `  S
  8.     cc = $fread(bmp_data, fileId);4 ~* s$ I0 o. G& Y. M$ g3 z
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};" }  z% O3 _+ u$ c5 }7 ]/ s3 o
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};1 @, K2 f5 `* f
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};& ^9 d5 p! w1 e1 b9 b  e6 R5 \
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};1 E" \5 v9 a( Z7 W. U3 q2 R9 j
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin" T2 p) g0 O. q' C; G0 B; Q
  14.       $display("%h",bmp_data[i]);9 }0 A; C" x! {
  15.     end9 X/ k" c; k. F& Z
  16.     $fclose(fileId);
    * o/ d! Q# d8 v0 n4 N
  17.   end
    / [7 |' Z3 r, i; m9 N  `+ x
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!( H9 P' n# r6 T5 }. a3 X
學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-21 06:40 AM , Processed in 0.164010 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表