Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4100|回復: 7
打印 上一主題 下一主題

[問題求助] 關於PrimePower的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-8-21 01:02:57 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
想用PrimePower來測模擬耗電量,所以要先用Design Vision來Synthesis並產生VCD檔後,才能被PrimePower讀取,請問這是對的嗎?3 r$ a" r* b& M+ M1 j! y* f" K# m! p

0 j! G* e, K" s5 Y5 ^另外,要在Design Vision產生VCD檔,必須在testbench的檔案中加上.dump的語句。我的問題是,  Y' _7 i: x2 i4 `6 Q

" g9 \% _+ ]# f+ M請問在Verilog跟VHDL這兩種語言的檔案上,分別要怎麼加這個.dump的描述呢?(不知道要寫些什麼)
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-8-21 15:37:17 | 只看該作者
"沒聲"在嗎?2 ^3 W+ H* y4 h
有空的話幫回一下吧!
3#
發表於 2007-8-21 20:45:00 | 只看該作者
這是用來做gate-level的Power的模擬
1 F6 u4 R+ f: t2 u# J所以要先用Design Vision來Synthesis並產生VCD檔後加上 gate-level netlist 才能被PrimePower 分析; ~) w5 b0 e5 j

: ^$ E& t% h, t, K. \Verilog dump VCD :
) p* ~) k% b' u  n" K' m1 v# _2 F. d' }& D( y5 c
initial
4 m" O- y1 M) f; G( P  begin
. g' |# f  l7 b  \8 M    $dumpfile("dut.vcd");/ i. Y3 Y% I& V, F
    $dumpvars;
( ^1 K) W) v6 M* Q8 b" l  end

評分

參與人數 1 +5 收起 理由
day766 + 5 感謝!請問如果是VHDL語法呢?

查看全部評分

4#
發表於 2007-8-22 09:21:57 | 只看該作者
若用VHDL的話,可以不用在testbench加dump敘述# c0 `. y8 z  i7 Z2 U0 j  k
以用modelsim跑模擬為例,可直接在modelsim的run file裡加以下敘述
! G; `' E- x% \9 _& S! n9 N好處是不需要更改原來的testbench- x3 A  w8 a( K- D$ L4 Z: W
有點久沒用了,如果寫錯還請多多包涵" R- r4 K8 W* p' w9 B
. ^& B- I: W! g
Ex.   run.do
3 w/ `9 S5 T- A0 I" ^; x3 X- T) B" N/ |6 v- U7 I. Y1 k, U; ]0 ~
vsim -t 1ps work.tb  T4 o* o& L3 }; i. _+ v
Dumpfile design.vcd   (或vcd file design.vcd)' \! g: ^1 C( L/ R- i0 s; B: y
Dumpvars 1, /tb
3 D4 C  n* ^; c" G5 ^# k! C; O# ZDumpvars 2, /tb/design

評分

參與人數 1 +5 收起 理由
day766 + 5 非常感謝!我在Design Vision裡試試看 ...

查看全部評分

5#
發表於 2007-8-22 11:10:57 | 只看該作者
這裡有一段 VHDL TB 可以產生 dump file ' h- i, p( t) g
4 i  ]5 p% {1 y: G7 U2 r; u3 |
use std.textio.all;0 W% @0 t8 S% {* w" x
use work.string.all;' N# F% s7 v  x
architecture tb of test is
  [" i) [; p: }9 V! l, P/ t   file io_file: TEXT open WRITE_MODE is “sim_res.dump”;( i- q- r6 P( x4 N5 l+ ?* T' z
begin/ N7 J5 @( w6 F# s& q
     writing_sims: process2 p6 C1 N. t7 i$ Z
         variable buf: LINE; -- predefined access type in TEXTIO
- R& a; D* u- X. R2 }+ }" D     begin' v4 h* h4 g# M7 S# F/ d$ E
         WRITE(buf, “Simulation results:”);3 n3 t5 Z% n& |
         WRITELINE(io_file, buf);) R+ l5 a" N* n  l
         loop+ G+ K! o* h1 a+ A. `9 P6 w
             wait on CLK;  -- loop execution on every clock edge
( a3 ?3 k* s; u, h3 b             WRITE(buf, “Current time = “);
* w! R; h/ D0 Y% G             WRITE(buf, finish_clk);  -- current simulation time( \, F, `# A* Y/ O
             WRITE(buf, “, clock = “);
8 W3 n; T) r" D1 W             WRITE(buf, clk);6 x8 W0 k  O- v; H* Y5 d
             WRITE(buf, “, in1 = “);1 E2 X9 y" j2 |
             WRITE(buf, in1);   -- integer type
  Z# Z, J" y; s' a% `) V             WRITE(buf, “, out1 = “);
5 F$ [2 z" X( Q6 V  \$ d9 x9 J             WRITE(buf, out1); -- bit_vector type
1 @! C% z; ?$ R, L             WRITELINE(io_file, buf); -- write line to output file" g0 Z4 y$ S6 z/ ?8 ]1 N: i$ s
        end loop;
, o1 L5 o+ ?! d3 V- C4 U: E    end process writing_sims;/ D) `, K  D1 Z. |. e6 m. C
end tb;

評分

參與人數 1 +5 收起 理由
day766 + 5 非常感謝!

查看全部評分

6#
發表於 2007-8-23 00:36:30 | 只看該作者

用產生VCD方法

Xilinx針對試算Power有提供自動產生VCD,不知是不是你們要的.& H% c5 D! o0 Y1 w! \8 G; w4 E
此方法不需寫code,只要寫test banch就好了.
! B  X2 N1 I% }8 x& D3 I: lXilinx試算Power方法是由模擬後產生VCD file,然後再參考VCD file由Xpower軟體幫你自動算出,要算出最準的Power,則要仔細寫test banch去模擬.
& z1 y7 u, Q- I+ a- a$ {# o  G產生VCD方法如下:8 H5 P+ g6 T8 C0 R3 d

7 A. e# v, c) G3 p0 m- |6 D............糟糕......我不會貼圖ㄝ.....
5 @3 D2 M6 D' N/ G我把方法做成一個圖片,圖貼不上去,需要的人傳短消息給我,我再寄給你們囉^___^

評分

參與人數 1 +5 收起 理由
day766 + 5 非常感謝

查看全部評分

7#
發表於 2007-8-23 00:38:12 | 只看該作者

回復 #6 jason_lin 的帖子

補充一下^^4 X% J, A8 h6 V. I: s- t4 Q
是使用ISE自動產生VCD檔,不需用語法去產生.
8#
發表於 2007-8-28 16:16:11 | 只看該作者
"沒聲" 感謝你的標準答案
  l6 c6 j2 t+ E# H5 M7 h另外也感謝其他人的回覆跟補充

評分

參與人數 1 +2 收起 理由
day766 + 2 也謝謝版主大人的幫忙催稿

查看全部評分

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-8 01:38 AM , Processed in 0.123016 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表