Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 53670|回復: 51
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2007-8-28 10:40:06 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
如題
, Z. K! U2 I) {% A2 Z小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
+ `7 f2 T: S4 E. q7 L. |9 q! L如果不是麻煩版主修改一下囉# H7 ]8 x( s! m0 }! K
$ [& L* x& q2 i
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數; `& `: c- Q" I2 E0 [. N
總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5
9 L1 _- i, b$ F2 C2 G* C- ?, \+ _. j  H+ a8 `
請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?
7 J$ y8 K0 T% P" h* \謝謝!

評分

參與人數 1 +4 收起 理由
kuannan + 4 謝謝小朱仔提供的code囉 對初學者 真是�

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
2#
發表於 2007-8-28 10:52:11 | 只看該作者
原帖由 monkeybad 於 2007-8-28 10:40 AM 發表
8 e) O: n( U" w2 W如題5 H- I8 f" V' x8 e/ f  T; V9 q! w
小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
- E6 [9 c; k' q: y4 t如果不是麻煩版主修改一下囉
8 w1 O  @4 X5 o( I1 \( `# D3 G; A& v( u0 b0 k$ B
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一 ...
1 s3 D* E. U% o* _

- l4 J* m0 o. l' N大大您是要寫Verilog還是電路
0 ?! r5 i3 |, y8 y. e: v
% Z* I" N! y% ^: N6 x先PO個RTL Code參考看看5 l1 d% S; ]1 x8 |9 I: ~7 D
1 ^* k5 b. V) ~& L" F6 ^
輸入:N clear load clk
0 C; x. j/ l6 {輸出:count( R4 G) n# F$ h5 `& e1 O. s7 }
3 S$ J3 r* f, V' ~
行為描述(依照優先順序)
5 k7 |. U5 c- v. {0 P  S1.如果clear為1,則輸出設為為0
/ m$ d/ W- E' K9 A' I2.如果load為1,則輸出設為N
- ]% {% k2 N# u3.每個時脈輸出遞增一次(BCD)
- c# H$ N: t0 N1 V' a. u1 G; N" P8 D3 {
4 S1 |; z# N# E; p
0 g/ b' ?8 j4 P; s; ]
程式碼:: g, s/ @2 \7 G- r  O
BCDcounter.v
9 I$ N4 N( C, Y) ^8 {' f" Z2 E
* q" p, s9 n: M) nmodule BCDcounter(clear,load,clk,N,count);/ P$ I, p$ p3 ~
input  clear,load,clk;
6 x( p# u/ W$ I" d( uinput  [3:0] N;7 R3 p+ g: r, }# X) k* Z
output [3:0] count;
: A' q0 c3 k& }) D6 j" }$ F7 ureg    [3:0] count=0;
9 E0 L8 t, N" m% c2 X
  n0 P: E4 T" b: U. Halways @ (posedge clk) begin7 s6 j7 n7 K, [4 q5 t$ ^2 L
  if(clear)                 //clear=1
! j4 T! B' `+ P    count=0;( i, h" v; j: k
  else if(!clear) begin     //clear=0(共有0 1 X Z四種狀態)
& J# N5 _) C9 o4 d- Z    if(load) begin
0 J6 Y& t) s$ Z8 Z+ E8 I# G      if(N>9)
7 A; G) v( D# N! J+ \( j% u        count=0;5 O4 {5 q% E. ?& r7 U6 U
      else! W) U/ E& C/ D5 u9 G
        count=N;
" M& ]8 f/ j5 G: D" f    end. @" \. D3 L. I  U
    else if(!load) begin
+ ]. S/ T0 ]6 A& S* L      count = count+1;
! j0 w6 V3 a/ p# ]      if(count>9)
& F5 m' h8 O/ S      count=0;
4 e  S, I' r) c9 Z" Z7 b! P    end
. p# |. L& G% ^; x5 o9 b  end
: O- P7 ]0 b; q7 z" W, Nend
/ d+ {. n! k. L, w) Jendmodule8 L! Z6 f) o, g

1 k8 X7 T9 Y4 E: f, h0 Vbcdcounter_tb.v
+ X9 W$ C9 [$ _9 s0 P5 Y* g+ t% L6 E, Q6 ]9 c$ t& c
module bcdcounter_tb;
7 p+ j! Q8 ^% Ereg clear,load,clk;
; q: Y+ V; j5 N+ r( Creg [3:0] N;
8 c9 N/ F4 J+ J  N& n/ c; n0 ]wire [3:0] count;
; i" u4 I% n: H+ q; Z+ t+ J4 O" B" P' K% e5 l
BCDcounter m1(clear,load,clk,N,count);
! C) [  C9 ?7 g0 q+ U4 E6 i; d1 X, a
initial7 o' A# N1 H5 B6 q6 w
clk=0;3 G% R' d9 P- N* G. s( Y
* h  T0 J: ?; j5 N3 G
always #2 clk=~clk;$ W! i2 O. j+ q8 m

: m( |6 H% B6 a: Ginitial
! m4 u* |5 c& F( X#160 $finish;) y: W1 C  Z: `! R4 N
- ~. p  g3 D" V1 M. \
initial begin% U' x% ~1 y3 p% I5 D3 E6 p' N
    clear=1;
- h' t% {5 \+ r+ Y#4  clear=0;
; M+ a6 ~6 Y" {/ s5 E#90 clear=1;
4 u/ a* V' N8 |* j; h* t4 Z5 Z#3  clear=0;
! `5 O6 `; E3 J. A* kend5 y6 [( m% W7 Q: w
5 h: j; o& Q) T8 D5 G) T/ Q
initial begin
  @1 q/ f  x# m0 v     N=6;load=0;
0 I+ R# f" C9 q3 w#58  load=1;
$ L5 b  H( x' \#2   load=0;8 d4 I5 N8 W( T3 q, N; h
#22  N=12;2 r/ _5 [/ z7 S! e! N
#40  load=1;
* Z" M! }$ l* W/ H$ {" |4 |. {#2   load=0;
4 k/ g- m8 K  H6 N/ d2 Y4 Qend7 `3 g+ R5 S: n0 ?' Y

* o3 z) `& t* M5 H: Z. b; q  Vendmodule

評分

參與人數 1Chipcoin +5 收起 理由
tommywgt + 5 回答詳細

查看全部評分

3#
發表於 2007-8-28 10:56:04 | 只看該作者
還有一份講義可以參考看看
7 g5 p# W5 X; Z5 C: v希望對大大有所幫助
! l8 h! B# V' R* Q) i! G
% J$ T% b$ |: v $ Q2 @+ P7 ?$ y# c% H: A5 H

6 Y3 g: _4 C, n4 R! F" [/ P
遊客,如果您要查看本帖隱藏內容請回復

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x

評分

參與人數 1 +1 收起 理由
ycs1977 + 1 謝謝小朱仔的資料 受益良多

查看全部評分

4#
 樓主| 發表於 2007-8-28 11:18:33 | 只看該作者
感謝小朱仔的熱心回覆# k9 m3 ?# Z9 ^8 ^
不過我電路要自己畫 還有我不會用Verilog耶8 B/ _) D1 J( Z2 A9 d
現在主要是要有電路圖 可以用Hspice模擬的那種
2 F: Y7 G6 I! _4 c/ z" A另外希望inverter越少越好 因為要求要省電! 還有要自己layout!! `) i; i# C: e5 W6 ?
  f- A! J& g, z3 q$ J( ]5 |
樓上那份文件好像裡面有寫到 趕快研究一下! 感謝分享!
9 ~0 f: l8 c, e/ W8 k6 n9 U6 ^# J! n# m4 H/ t
[ 本帖最後由 monkeybad 於 2007-8-28 11:23 AM 編輯 ]
5#
發表於 2007-8-28 16:05:34 | 只看該作者
你那個要自己動手畫CMOS電路吧... & ^. D, M$ @; d/ T9 B9 F; l
寫code習慣了, 真的很不想動手再做這樣的事, 不過推完booling equation後, 直接用Cmos畫出來不就好了???  `6 J9 e8 B! x. X  s$ I3 n* Q
沒錯吧
6#
 樓主| 發表於 2007-8-30 14:21:06 | 只看該作者
恩恩 不過booling eq好像是在推導一些輸入輸出的條件( ?9 |* H2 e3 G; L" Z
+ s8 @4 Z" b, v
記數器參考一些資料後 找到一個用ripple counter下去修改的1 O. @+ X0 {3 X
ripple counter就是用負緣觸發的T型正反器串接在一起 ( g5 f7 X9 p$ w8 @: w' `3 c* B& r
接著開始從0數到9 然後數到10的時候
  l* @# }" F, d就reset重新從0在開始數
7#
發表於 2007-9-3 15:08:06 | 只看該作者
ripper counter真不是個好主意, 不過要看應用, 在有些地方用時倒是好東東...
8#
發表於 2007-10-23 11:19:59 | 只看該作者
我很好奇某大大說的講義: K- H& E! X- e
給我看看寫什麼吧
9#
發表於 2007-10-23 20:26:00 | 只看該作者
我這裡有時鐘的電路圖..以送去CIC下線..可提供給大大參考看看!
10#
發表於 2007-10-30 01:52:19 | 只看該作者
我看一看那個問題,要我聯想到CODE,一時還想不起來,真是太久沒有寫程式哩!
) c/ K& ^) \# ]" |看到CODE,有一點恍然大悟的感覺,又接著看,害我也想看那份相關資料囉!!
11#
發表於 2007-11-9 14:57:32 | 只看該作者
BCD conuter 應該是對自己有所幫助
12#
發表於 2007-11-14 23:29:59 | 只看該作者
bcd counter對初學者的我而言真的好難
/ C: ^9 x1 F; L6 R: p謝謝小朱仔提供的code囉
13#
發表於 2007-11-17 16:58:54 | 只看該作者
大大別忘了給小朱仔 "感謝"
! K2 x  M- r4 g+ z" ^7 o- R# m9 y2 D8 x+ y( }
按評分, 就可以給感謝了....
14#
發表於 2008-2-22 17:34:54 | 只看該作者
Let see the shared material. THX.
15#
發表於 2008-4-24 07:28:10 | 只看該作者
幕讲义之名而来,呵呵勿见怪啦.                                              - v% U/ W: g. {' E
感谢
16#
發表於 2008-5-21 10:42:39 | 只看該作者

回復 3# 的帖子

感謝各位大大的資料 對初學者 真是受益良多
17#
發表於 2008-6-2 11:53:25 | 只看該作者
感謝大大的分享∼∼∼∼
, C7 j. C9 w' q2 v& l因為你的無私,讓大家能學到許多知識
18#
發表於 2008-6-2 22:10:25 | 只看該作者
我也想看看大大分享的講義~~! [6 H8 \- m$ i/ \8 i# w
回覆來看看囉!!感謝蛤!!
  k( l4 S, i2 ^# S因為我是初學而已!!
19#
發表於 2008-6-8 20:55:26 | 只看該作者
你是要畫電路圖的方式設計~0 r/ B& E0 g0 W( w( \3 T' J
那畫畫卡諾圖不就好了.... 只是比寫CODE還要麻煩.... . @9 ?; }" ~% i' v3 @
因為要接邏輯閘!! % A' h+ ^- S3 F% @7 {2 ~; x
用卡諾圖化簡!!
20#
發表於 2008-7-25 14:41:52 | 只看該作者
最近剛接觸這方面的東西
: B) D4 ~9 F9 d  b2 }9 {& T1 O& _5 @3 v: W, l3 ?+ Q
剛好需要這些資料; ]+ g1 d$ P4 X- j

: E6 _5 N% N. p3 U1 J% I. P感謝分享!!!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-4 09:57 AM , Processed in 0.155519 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表