Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 2986|回復: 1
打印 上一主題 下一主題

[問題求助] 請高手幫忙看一下

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-1-12 10:50:38 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
20位元BIN轉BCD的程式: N9 e% _$ E1 B6 P# v; h/ r5 z  |
LIBRARY IEEE;& m( e; z* |% V) C% P6 o
use IEEE.std_logic_1164.all;
# J3 U4 C. z7 K* {; n: s$ P! k/ l# D% x, B, D- n( y) L
entity bin2bcd is
6 L. U# d! ^! r2 ]4 U) ]3 yport(5 M! g2 G- \) \4 i" E6 B" l& j6 E1 h
  data_in : in std_logic_vector(19 downto 0);3 |3 ^, x$ f% Y( ?$ `( b" ~, Y
  EN : in std_logic;
3 {' I& @2 b  g, C, p! I1 z  data_out : out std_logic_vector(23 downto 0)
1 E) L; q8 n" @6 C) N# x0 N);
0 O: h7 [/ J8 C; qend entity;
& `1 R1 b% s" M
; h- o% P  h! a1 ?
- j1 U4 p8 N/ k# Harchitecture bin2bcd of bin2bcd is7 e1 a: Z4 [3 K; ]6 k) e
begin
$ a, @* ?$ e- k3 y
8 w4 o! C3 Y* `process(data_in, EN); ?2 z& j' R! x, w  u7 y% X2 W
variable data_in_TEMP : std_logic_vector(2 downto 0);( j" X$ C6 ~( {) H
begin- V' [9 r1 w. M% n
  data_in_TEMP := data_in(19 downto 1);) N! Y2 r2 b) V$ L. H1 Z
  data_out  '0');! y$ p0 S9 B( _3 r$ W
  if EN='1' then& _2 C( t3 M$ f8 a. z' w/ A
   case data_in_TEMP is
5 N# T4 H7 |# n% N7 j    when "000" => data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out'0');
2 y, E6 t3 h' M* @. r3 R( t6 o4 h   end case;
% `1 Z4 d; G7 u* v  t& Z! z0 q( L/ Z  _: Z1 Y* j9 Y
   data_out(0) <= data_in(0);. t6 X& x" ?: l, h: q
  end if;
/ U# l! g3 s# Rend process;
+ _5 U5 x4 l: w& a  T' R! X3 s, l6 k9 Q
end architecture;
& n) j5 H1 ^& p* Z$ i. a
8 f1 S- |: u# b- A% T! `4 j4 h/ R5 A8 S. {: C0 B2 O
CHECK的時候第一行跟if EN='1' then這行出現錯誤8 r! X! K% |$ Y8 c8 ?6 A
會是什麼問題??
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-1-22 17:03:26 | 只看該作者
variable data_in_TEMP : std_logic_vector(2 downto 0); ~~資料寬度 3 bits
" w" k7 I5 y: a2 d% \0 Jdata_in_TEMP := data_in(19 downto 1);~~資料寬度 19 bits ??
4 A9 ^0 K$ O) c  m$ Q! sdata_out  '0');~~????????目的為何????
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-29 12:30 PM , Processed in 0.111014 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表