Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4315|回復: 3
打印 上一主題 下一主題

[問題求助] 為何視同一條timing path

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-9-18 19:40:57 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
Dear sir,
# A6 D3 }/ `4 }3 Q3 D; M4 g1 q  請看圖,所有的記憶體都是同步的,所以我基本上認為因該有兩條timing path,; n) ?5 T# V  d# ?
第一條 : clock -> 同步SRAM -> 同步ROM的data input
0 [9 |- L& G$ d2 Z$ A; [5 `第二條 : clock -> 同步ROM -> FlipFlop的data input
# J) ~8 E6 J" |# W  N, m, _) C但在FPGA與CMOS下做STA時都是只有一條PATH:從clock直接到FlipFlop的data input,途中把同步ROM當成組合邏輯元件似的,將他的delay值加到這調路徑,好奇怪喔,都是同步零件阿。
4 u$ s2 N5 k% P; M: G# z" {想要將ROM設成false_path要不好設,請問該如何做?
7 S" ~1 R$ s, R9 n" b謝謝。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-9-22 10:46:30 | 只看該作者
一般ROM是用LUT一類查表的電路來實現, 所以在看timing path時會由sync SRAM直接看到FlipFlop,
) u* l% f  ^9 U9 y, d; w至於你電路的sync ROM(?) 可能是ROM加上register input/output, 這東西很可能在合成時跟上/下游5 r& g4 i- W. I8 b' p* C& y% w
合併, 建議你仔細看一看你的log檔, 或是technology view, 看是否有作化簡的動作
1 m$ V- Q) Y! x4 K* i, l6 c; i# d2 `: N- `0 A, e$ }
還是你方便將這段code post上來給大家合成玩看看?
3#
發表於 2008-9-22 22:33:05 | 只看該作者
ROM / RAM 是 DC 可以合成出來的嗎 ?
) }& I& A: I/ N! t6 t, Z; u除了 Register File 應該都不行吧# T5 y& y4 ^' L$ J
7 j9 j% q+ T: n. Y
[ 本帖最後由 masonchung 於 2008-9-22 10:34 PM 編輯 ]
4#
 樓主| 發表於 2008-9-23 09:42:31 | 只看該作者
For  sieg70 :  E: O( @( |% \0 c7 T5 c" T
您指的是在FPGA下吧,您的解釋我認為可能性很大,不知是否有方法要FPGA tool用memory cell來做ROM?不要用LUT。但在CMOS下就解釋不通了。
0 P4 Y2 s, O& a) T( s. y此外我也會去看log檔, 或是technology view,謝謝。 $ ?2 P) d# l) E4 s" R
3 o, O4 V. V; V8 \
For  masonchung :
8 Z4 W( ^  E( ~# _8 c4 d3 LROM / RAM在CMOS下都是用memory compiler產生的,所以是hardmarco。
6 a6 e: i; f. E; g# D1 S6 u! X) nROM / RAM在FPGA下都是用FPGA tool產生的。 謝謝。  h- j% j- X2 g- q4 w
) v7 \& J* y5 c3 `* o
[ 本帖最後由 jerryyao 於 2008-9-23 09:50 AM 編輯 ]
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-15 11:11 AM , Processed in 0.131517 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表