Chip123 科技應用創新平台

標題: Cortex-M0+ IP Core [打印本頁]

作者: sinoicboy    時間: 2014-3-7 11:22 AM
標題: Cortex-M0+ IP Core
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯 9 Z: J+ k2 c% }+ A* ?3 e
/ E- b* Y0 H: c: M9 p# i0 W9 d: R! ?
[attach]19654[/attach][attach]19653[/attach][attach]19655[/attach][attach]19656[/attach]  J0 z% ?7 B0 Y, ^1 [0 m1 k

6 P; ^' P! L! K0 e- Eiconstart@gmail.com6 I! k: W, j% O
. Y* R( _0 F6 L9 Y5 Y6 C
                                  AR085-DA-70000-r0p0-03rel0/$ q  H0 h& [2 k6 l" i9 l
                                  AR085-DA-70000-r0p0-03rel0/doc/' m0 d5 n* E- R) l; p
                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/
$ `7 _4 _* q& I; R( q7 J4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf8 d. P8 F3 {. T% B7 m) L4 H2 o
                                  AR085-DC-11001-r0p0-04rel0/
8 b) u8 d& S5 g  ~& [                                  AR085-DC-11001-r0p0-04rel0/doc/
# _% A  d- {! _0 c, l& E* `  m                                  AR085-DC-11001-r0p0-04rel0/doc/errata/
* `  `( R6 d+ u& u4 D3 I  @. Y57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf. ~3 N+ @; x4 I+ f! T& ?# [
                                  AT590-BU-11001-r0p1-00rel0/
# b2 L. P$ T, t: k0 Tb2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf1 j" r# q, m2 h1 P0 k4 k1 b+ E; w
d305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf
# L! W9 d1 S% j+ t% O% Q; R& Q2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst+ E, V# w, ?2 z8 y8 f* O3 _
                                  AT590-BU-50000-r0p1-00rel0/; ]+ w9 F; c' H! ]
70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf8 {2 Q& K. w" \% ^+ a+ p
                                  AT590-BU-50000-r0p1-00rel0/logical/+ I- k( P5 A1 D) s
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/  F1 b; S6 g5 x% \6 c) y) _2 n& V
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/
5 t) f8 j: j* O3 e# ?1 V" w# n" `) ?80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v9 Z' h  e# C( j7 {% f) O
063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v+ Z* @4 k- G& b  J  {9 q3 n
17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v
& y4 U+ Z% ^. Ydb6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v
3 ?3 O8 z( U: F! p8 ~( E, H! \: I# i12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v3 ~) r& G! l7 i7 j
bb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v. q' ^7 q2 [4 N; r* X
2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v1 @: p- j1 u* R3 K' p9 s/ n; p& a" G% \
fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v
# I: x, _7 _; }. y72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v! Z* F: F& d1 O: b1 O
6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v$ H, c3 e* g& U% J+ H) Q
764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v
( B, l; l2 u. |$ @3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v
% h- R0 y" x' H+ [5 Z3 y" y% E5 {/ z75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v
8 J# R' D5 L# N. }7 `7 T                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/% g( c5 u+ ?/ C4 a, D  ^
                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/7 ?" \$ }9 e& S6 m0 N2 e  A0 B
95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v
0 I) I9 _0 _6 v2 ?( _' _302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v
5 y# i6 J2 |! k+ k" a; Nfce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v
0 W& q1 I8 W3 {4 ~/ r1 S) ~: ^c00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v
, f( h& ?3 Y8 j, s) K  [& J) X9 h# ?ed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v$ p7 p3 k. h5 {0 G$ E& D: ^+ C
57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v
, a: N  e- m" N$ ^9 R66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v
2 D: R; |: k. Q! U62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v
3 X; C! ^9 r7 j9 K+ C" t4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v
5 X% d1 K" e( t1 D' }a436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v
作者: 114142500    時間: 2015-3-11 02:42 PM
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com.tw/) Powered by Discuz! X3.2