Chip123 科技應用創新平台

標題: 請問倒數00就停怎寫? [打印本頁]

作者: hope1014    時間: 2008-11-26 06:56 PM
標題: 請問倒數00就停怎寫?
LIBRARY ieee;
' s. {/ P, o7 Y+ f; g9 f6 V( ?USE ieee.std_logic_1164.all;0 p2 C- Z/ O8 ?$ p. [
USE ieee.std_logic_unsigned.all;
5 r. t& A5 c- S9 ?ENTITY counter_backwards60_seg2 IS
0 e) {( B- U4 y! ~( Q        PORT(Clrn,Load,Ena,Clk        : IN  STD_LOGIC;* z2 @' B+ O1 }' J! B% D
                 Ring          : OUT STD_LOGIC;                         
$ `2 a! U8 |# I* Y& ~# h3 e: e                 Q0,Q1         : OUT STD_LOGIC_VECTOR(3 downto 0)) ;                       
' X- t6 X, {3 A5 S* |2 WEND counter_backwards60_seg2;. k7 G8 H4 u3 C+ u. a0 e& d
ARCHITECTURE arc OF counter_backwards60_seg2 IS1 X, c' i1 G5 }0 K  s
BEGIN
6 r: W, I3 A" {% g% B( U0 r  PROCESS (Clk)
* h- I, ^5 x/ s$ G* l     VARIABLE imper0 :STD_LOGIC_VECTOR(3 downto 0);
6 x4 I! {& J& L. W3 l/ d5 p9 Z     VARIABLE imper1 :STD_LOGIC_VECTOR(3 downto 0);# c2 u! s& W- g! C8 ~' S( v7 F
  BEGIN
2 n- l# q' i4 w! f* w$ o: J" n( {& f        IF Clrn='0' THEN  imper1 := "0110"; imper0 := "0000";7 B2 |$ `5 k4 W
        ELSE IF (Clk'event AND Clk='1') THEN 7 P2 {  @5 D3 I$ b8 I' a! o# O: ]
            IF Load='0' THEN imper1:="0110"; imper0:="0000";               
8 m: \+ ]) {' G% E$ ~. B; W" l            ELSIF Ena='1' THEN. `/ F: V( _& {2 L7 Z2 I
               IF (imper0="1001" AND imper1="0101")THEN+ t2 O0 t% m! _8 l; |/ e* K
                  imper0 :="1000"; 9 f. w& W" G4 _* v  T
               ELSIF imper0 >"0000" THEN imper0 := imper0-1;
% v- }+ a6 P" L5 B               ELSE imper0:="1001";6 j, L. v! j, I
                    IF  imper1 >"0000" THEN imper1 := imper1-1;
& p5 H5 n. s$ [; G0 E5 D  q. E0 J                    ELSE imper1:="0101";                     
) e& b5 |3 e! i, |& k                    END IF;( t% K! N$ L" e: N+ X$ q7 `( ^& |: Z
               END IF;
/ c$ N3 V6 |' A0 h5 T1 d            END IF;
+ }6 E* ?$ M; W1 j        END IF;
. N, X2 S1 l+ v+ h* b  p: ?" J4 u     END IF;               9 V- e: k) X9 w5 p
Q0 <= imper0; Q1 <= imper1;
& o7 k  \* r3 ]" q% yRing <= not(imper0(0) or imper0(1) or imper0(2) or imper0(3) or imper1(0) or imper1(1) or imper1(2) or imper1(3));         
1 C# G' c6 E# r% D   END PROCESS ;
2 f+ G5 H7 y/ B5 TEND arc;

- u1 E1 `  p. C8 x& ^7 p; V1 ?- e8 K/ l
上面是我的倒數60秒程式5 n- z0 n! D/ P; v0 y5 j, i
小弟不才
! H3 W0 A, |  \5 K$ ?不知道怎寫停止
  L. G& U2 L8 \. G只要數1次60就好
作者: spring69393    時間: 2008-11-27 09:37 PM
如果單純計數的話,宣告成整數型式比較好。 signal timer : integer range ;
  _) d' y/ B% I, f& F& |. A要六十秒數一次的話,簡單點就 if timer = 0 then timer <= 0; 就好了,
7 }( ?( C3 u- E, Z  Q1 m2 H( A+ J. x除非你有什用途 ,不然就這樣就好了。
作者: hope1014    時間: 2008-11-28 05:05 PM
我是使用QuartusII5 A3 U- N7 j* o( Y& m
跑一次的宣告是要放哪一行...?
+ V7 Z2 x- |$ B6 V) M8 b. D* y還有一個問題想請教: |8 @  B8 l9 [8 c, O
就是counter_backwards60_seg2是我的分鐘
' h1 f9 o9 T2 e: E' Acounter_backwards60_seg是我的秒! w, H2 j' n& X7 q
現在就是秒那邊 10→9秒的時候Co也會進位
, N+ Q% |) E( g. g1 M2 w: d; E3 e秒的個位數有延遲 所以導致10→9秒的Co會進位. j8 T9 b2 e3 S, e9 _
程式分跟秒是依樣的 (Ring就是Co)
. Q0 D- O2 `. H! ~: c另外 分鐘怎從60開始: b$ ^& \# d/ q! p) ]
因為現在我是00:00開始倒數
+ R+ t! O$ d/ N  o; Z7 K+ l4 g* }我需要在counter_backwards60_seg2改哪邊?* H5 e, e2 b4 l% i) p: e! v+ f  G  S
$ T# z8 h+ M1 N, e
3 F$ c* i7 n7 j" c: N" l& z
我奇摩即時通:xt_9000
+ H6 ^+ o' |' }" E( \希望有好心人幫幫忙% I2 |) ^8 o& e5 b  I
懵懂無知的大學生
作者: spring69393    時間: 2008-11-30 01:13 AM
剛學程式時寫的計數器,不過是上數的,基本上跟你要的下數差不多,只是反過來而已,8 |4 M; ^: n! v
看有沒有用可以參考一下。
作者: hope1014    時間: 2008-12-5 05:10 PM
感謝大大的回答
9 R; m; \& w- M  R( E6 s我想問說( H: d" K, w5 s( F
怎從"60"開始倒數?- [3 D+ |  g6 Y$ W
60→59→58→57....→003 r3 U2 f6 c, S! G7 E( k
因為我弄出來都是$ u" C) a0 ?: i, q
00→59→58→57....→007 n3 m8 c6 R, ?) ^3 y. Q" R; f
$ v( X! S& t4 H6 ?, a" D" }+ B

# S' ?  K$ l9 u# a; n. \希望有高手解一下疑惑
作者: spring69393    時間: 2008-12-6 03:06 AM
初始值要給六十呀,也就是rst 的地方不要給他00 ,給他60。
8 t. |, ?" n  {# a4 w& E3 ^
# }% i! {5 p7 s9 h不過時鐘的話應該是給59才對,沒有從60在數回來的。
作者: hope1014    時間: 2008-12-6 08:22 PM
沒有啦' l( A2 M5 H1 g+ U6 R) |7 f  m7 b3 S) }
因為我要做60分鐘倒數
2 v. j; `" b; q- @" O. U5 x0 r所以要60:00 →59:59→59:58
' \  m9 L) O% F! D我現在是00:00→59:59 @@"
作者: spring69393    時間: 2008-12-7 03:58 PM
if reset<='0' then$ k# U" c5 G* S8 O, ?
     timer<=(others=>'0');  <<<  改這 60 00
作者: hope1014    時間: 2008-12-7 07:00 PM
我沒有設定res啊@@": d9 e' q; J3 J/ w  \' r/ s" a! g
我是用Quartus II 電路圖接法
1 O. |4 ], K: C/ a; }6 S2 x60:00(現在是00:00) →59:59→59:58+ \" T  R( e7 O# k' m! Q' O3 n
6 y& M( a* _1 Q; U. J
IF Clrn='0'  或者 IF Load='0'  就停止動作了啊@@5 f1 O2 |2 _7 T) ~
小弟比較笨拙~"~
作者: hope1014    時間: 2008-12-8 01:00 AM
LIBRARY ieee;
9 n, |0 l( X, P7 H% Z" F) W# y- UUSE ieee.std_logic_1164.all;
3 s& h. x; B; Z0 B$ s1 f1 _USE ieee.std_logic_unsigned.all;
! y* q9 l* y1 [) [. S1 }  ^- yENTITY counter_backwards60_seg2 IS
3 y+ v0 O, H4 T( C        PORT(Clk        : IN  STD_LOGIC;         
  b. L! g4 |, J8 g                 Q0,Q1         : OUT STD_LOGIC_VECTOR(3 downto 0)) ;                - Q/ |1 w$ Z4 a
END counter_backwards60_seg2;& P* h! H6 W% y, X6 `# Q+ g# ~8 B
ARCHITECTURE arc OF counter_backwards60_seg2 IS
6 H+ q3 _9 a- w: C! I3 b BEGIN
7 n; T! s6 V' n9 s1 ^  PROCESS (Clk)
' L6 m; K! H. T5 m     VARIABLE imper0 :STD_LOGIC_VECTOR(3 downto 0);; @( E. H8 B4 A( G/ @) |" v
     VARIABLE imper1 :STD_LOGIC_VECTOR(3 downto 0);   
: _% ~- Y1 T" g+ L+ Q; F  BEGIN          7 ?8 |' i! q8 i2 ~" ^" r
       ) P' F, ~$ E  P3 W- N
       IF (Clk'event AND Clk='1') THEN           1 z4 A" V# d' |. y) `
             * k* k5 Y# E) p) j* N4 c
               IF imper0 >"0000" THEN imper0 := imper0-1;( U+ D; n* T; M4 H0 a5 V6 w, e2 q
               ELSE imper0:="1001";6 s: |1 Q0 F" Z0 g0 o) u; x
               IF  imper1 >"0000" THEN imper1 := imper1-1;2 L1 E. D* E3 C( N: m& ^  W4 k8 y( p
               ELSE imper1:="0101";
                                 
& F0 u, X& S& `5 T% ]                    END IF;
" u4 M2 X, G8 v               END IF; $ s0 q$ Z! G; g; O4 @; O
             END IF;  ; Q! J" t1 C3 t
         Q0 <= imper0; Q1 <= imper1;  2 V: q# a8 m" t1 \; o/ {0 z
   END PROCESS ;
0 M+ A5 {, Z+ ]$ R: @& vEND arc;  [  \# P. K; h+ ^2 X1 u
以上我精簡了一些 也能跑模擬圖3 t. J) v. ]! k& a7 n
但現在重點是我該怎寫60→59→58...
: F, V) Z1 v! v* R; L初始值60要怎寫...
& ]( I% d8 u  L5 M/ j" P是要多2個input 然後給他一開始的值?
# ^9 t5 B1 B" {4 q% @& ^0 U2 T% a# ?但要怎寫啊@@...1 X% M1 r/ q$ u) d& a: P

作者: hope1014    時間: 2008-12-8 09:32 PM
以上問題已經解決@@"
$ e* [* u  i/ L3 M& }但請問 我需要一個另外程式
, B/ o! I; C5 j0 m- J# t去控制暫停開始和暫停
+ X7 {$ v+ g7 C" p! {8 ALIBRARY ieee; % {, [( [" c" T" G
USE ieee.std_logic_1164.all;' Z6 |4 y6 t, J4 _" i
USE ieee.std_logic_unsigned.all;* ]/ V, t9 S3 j6 U
ENTITY debounce_v IS
6 e5 x( x$ @9 ~' Z3 N9 z        PORT(CLK,PB        : IN  STD_LOGIC;- ~# b& X. s7 t' s+ D% U9 W
                 PULSE        : OUT  STD_LOGIC
/ F# o" n0 a0 b! I% M                );
6 D" B9 k$ x$ m6 g$ o! }- qEND debounce_v;
1 _2 n/ B. h; t- H  KARCHITECTURE arc OF debounce_v IS# \8 f9 z4 _+ R8 W6 L2 K
SIGNAL imper : STD_LOGIC;: _0 m. w- `; x7 e7 W
BEGIN
8 w; \% M7 t+ r! U& f5 D: G9 f  PROCESS (CLK)
' i& R9 P1 E2 J     VARIABLE counter : integer range 0 to 49;
3 Y* M8 e5 c' O2 X  BEGIN+ j5 `9 h: s/ |$ Y9 y2 C
   IF (CLK'event AND CLK='1') THEN: o0 T2 ~6 u  m9 W% _
          IF counter = "1" and (PB = '1') THEN imper <= '1';
8 e$ k1 E4 I' I% ?      ELSE counter := counter-1; imper <= '0';% U' {9 A4 D4 z  D+ [- x5 q$ j" w
      END IF; # b3 D# A. G, Y% Z8 M( G
   END IF;
, p% |* u* A. B         PULSE <= imper;   9 T$ ?5 G2 r1 D) e
   END PROCESS ;
8 ?6 r" o1 R# o2 B- l9 z$ [/ UEND arc;. d7 Z  A1 U# o* S! E$ V4 B

8 \* u# U2 p2 s' x2 J這是按一次減一次
* P! D/ e. q4 R" S想要按一下開始 按一下暫停
  \7 L% w2 U$ K' Y9 ^
" A* E! [5 M# \ps.轉自全華圖書
作者: spring69393    時間: 2008-12-9 09:39 AM
Quartus II 電路圖接法 我不會也,程式寫法就懂。# t* ]+ h# S  r% P3 ^5 q
- l! ^2 x8 k& b9 u2 N
你就建一個按鍵 跟上面的一樣,只是還要做一個暫存器,=0 就跑,= 1就停。
作者: hope1014    時間: 2008-12-11 08:38 PM
@@"
0 Z6 K5 `, H2 G# r有需要暫存器??. I7 S4 Q/ d: t
那是震盪器→先接到開關→接到暫存器→計數器
# S) g. C& z! X0 k5 Q" Z這是樣嗎?
作者: hope1014    時間: 2008-12-12 10:23 PM
PROCESS (CLK)
9 Z3 A8 }. t# A; r7 X8 _+ ]5 K0 V% u     VARIABLE counter : integer range 0 to 49;' `& o9 r: r( v/ O5 X- X
  BEGIN0 f. ^+ B! k8 \- I4 ]) @
   IF (CLK'event AND CLK='1') THEN
2 P* e- M: d( c3 |$ V7 Q          IF counter = "1" and (PB = '1') THEN imper <= '1';$ K7 |" e8 z* s1 D4 `5 J
      ELSE counter := counter-1; imper <= '0';
$ `6 ?$ Q$ t' O6 x# _/ u, Y$ p  \) T      END IF;
* K% f; E0 @7 o+ y8 m+ P) H3 G能幫我解釋一下這幾行是什麼意思嗎?
作者: spring69393    時間: 2008-12-14 09:56 PM
PROCESS (CLK) -- 有些書翻成敏感列,如果clk 的狀態有改變的話就重做以下動作7 K3 [7 A6 v, ~) ^  Q- h
     VARIABLE counter : integer range 0 to 49;  -- 把counter設成 0 到49 的整數: W8 A) I9 c7 A, O" ]/ h+ W
  BEGIN                   / x% C+ K6 \( K& ^
   IF (CLK'event AND CLK='1') THEN  -- 如果clk 正緣觸發
6 D/ ^- R1 e6 g  o, c          IF counter = "1" and (PB = '1') THEN imper <= '1';  ! K$ C0 m: L6 a( z; v
                -- 如果counter = "1" 和 (PB = '1')就把  imper設為1
3 z+ A& |* I9 L6 R& j4 W  [
+ {" {3 p/ ^- e( k      ELSE counter := counter-1; imper <= '0'; --不然的話counter := counter-1; imper 為0
# h/ W3 B. K$ n) k8 S" q# [      END IF;
作者: hope1014    時間: 2008-12-18 07:44 PM
spring69393 大大感謝您
% P) ~$ h+ z; C# x" O0 f
3 |0 a& V! @4 u( @  q( m  ~, |  ]按鍵開關 第一次 on時,開始計時。! V. y) e+ k- B% X9 z
                 第二次 on時,停止計時。& H. r9 `; |& V4 g
                 第三次 on時,開始計時。
# b4 T3 a. c  N  \, Q4 p2 G6 h- K" W+ H* ?# \
這有哪位大大能幫忙@@
作者: spring69393    時間: 2008-12-21 12:53 PM
你就判斷暫存器是 高電位還低電位不就好了,一個計數一個停止呀,不是很簡單嗎@"@
作者: hope1014    時間: 2008-12-22 09:21 PM
是移位暫存器嗎?- A; U3 |: L( ]2 \6 S
平行輸入 / 平行輸出2 F& U' s: {) n; U6 J
平行輸入 / 串列輸出, o1 Q* Z* b5 T
串列輸入 / 平行輸出
8 A4 p/ v# Q$ O3 t" @: e串列輸入 / 串列輸出1 F0 A7 H$ e. I  V/ T
哪一個啊@@...  p; j/ X0 E, r  N' `
我都是看書自己學
1 }# f/ n6 T6 K! d- C7 g. A3 `/ s所以都不會咩' r. J: b- ]6 t+ x4 R
拜託 幫幫忙
作者: hope1014    時間: 2008-12-24 08:18 PM
真的有要用到暫存器?!
. T/ j) Y0 K7 I( ]# q今天問老師  
/ S; J" X$ o; W' @4 L可是老師沒說到暫存器的東西* a; I7 U. D8 i5 p( r
反而是說 # V+ J+ {7 j, {
IF CLK'event AND CLK='1
6 |3 o/ \- C4 x) \* c& @" P& Ucounter := counter + 1 ;
1 `& t" ^, F% e7 @% {4 K$ z5 V: e8 U* ?  c. d2 P5 B
抓counter (0)   ----第一個腳位?!
( t. Q# B1 z  [. g給counter位元多一點之類的/ x- y5 d) p7 [' G
7 A1 U5 M$ p6 M, `/ W; ?5 ^( I, K
00  01   10   11! D1 }) H8 L% S( h5 {
最後腳位為0   ----Run
# S8 h% u) w# k, g最後腳位為1   ----Stop
1 Z# M" Z& J& Y5 ~+ g) ^* ?. D; |. j) ^0 m/ q
可是要怎弄勒@@
作者: spring69393    時間: 2008-12-25 08:12 PM
你說的是自動變速,跟你的要求的開關功能根本不一樣。% o+ s8 M& H' C2 Z- Z/ E
至於怎麼做我也不知怎樣跟你說,給你程式你又看不懂,
) C  v5 n+ y1 C* M% u跟你說原理你又不去想,要用你懂的畫圖的我又不會,. ~" u, W" G$ }. r
難解了!
作者: ssejack1    時間: 2008-12-26 09:37 AM
Key function& e/ y0 I  D# }+ b* P% M5 D4 A
按鍵開關 第一次 on時,開始計時。
- B1 d: y5 M& _4 {5 T                 第二次 on時,停止計時。
) H! b: S! }$ J* k0 z& {! r$ Q                 第三次 on時,開始計時。
8 D; Z' A+ t0 b# k! k3 W3 {' a: I4 r未 synthesis,請自行 debug........ 7 g0 r2 A: c8 e

6 p# I9 Z& p3 I/ w2 wLIBRARY ieee; 6 t" e) r& {0 g
USE ieee.std_logic_1164.all;
! Y4 q: d7 {; R# t% VUSE ieee.std_logic_unsigned.all;
; C' I! s3 K. S' c6 UENTITY KeyFunction IS
3 {4 E5 X+ V2 \3 T4 k1 W        PORT(CLK,
9 Y2 U# F+ D8 v/ Y7 \                 PB,
" B; Y0 p5 M2 p* e4 {7 h! R                 RSTn        : IN  STD_LOGIC;
/ r) g( _$ V; t3 k                 START_COUNT,
0 }$ W& T* }3 n+ {$ [8 k                 PAUSE
# C( \. B! k. g) M7 e                              : OUT  STD_LOGIC
) `& x, x7 [" A* P3 S- z  b                );
3 l! U; t+ q1 q6 Y# o( _1 `END KeyFunction;- q; Z/ w4 _2 W" F  ?
ARCHITECTURE arc OF debounce_v IS! ^% p$ I/ t/ z
SIGNAL  currently_state : STD_LOGIC_VECTOR(2 downto 0);0 Z6 `4 [! B7 e, `$ E- a' s! L
signal     pb_reg,debounce_counting,debounce_end    : std_logic;
! r" {& q) i5 |0 C( gsignal debounce_counter : STD_LOGIC_VECTOR(15 downto 0);
+ f, C' j) P. y( T
# h/ Z1 {% o. nconstant  debounce_time        : STD_LOGIC_VECTOR(15 downto 0):= "0000000000000000";+ ]) S9 w( I3 q/ c6 X
BEGIN
) c! |' V7 H2 [+ q
, p. n6 u4 R4 ~6 `( S! h; o--============================================================
8 ?  _" C' [; ~+ g, ?-- get key push state. ( active high)
# @7 j5 E* q/ V--============================================================7 ~  l8 i. B( a/ O; S; x- }
  PROCESS (CLK,RSTn,PB,pb_reg,debounce_counting,debounce_end)
0 _. m; ^0 b9 x5 G3 S. a% A( y7 l, P   BEGIN
0 O" U0 u+ s: v5 ?$ g   if( RSTn = '0') then7 {- i  a$ ]& _3 b; s7 P$ I
           pb_reg <= 1;
# \2 x0 h9 L: p3 v5 @1 }   elsif( CLK 'event and CLK ='1')then* l. U: N% x6 R. m
            if( PB='1' and pb_reg ='0')then
4 f0 x& U& R8 d6 C                       debounce_counting <= '1';$ s  W8 o- o. r0 A' B+ ?# v
            elsif( debounce_end = '1')then
9 i4 u% L8 l- Q& d0 }                    debounce_counting <= '0';        + @! }0 i4 V2 ?! G( U
            else
8 U. z1 j2 o. z# _                    debounce_counting <= debounce_counting;' h( V' k, U/ a4 [: q- Z: [
            end if;2 [7 N8 i0 c; z  Q6 [: t' s7 a4 I- e
            pb_reg <= PB;  * W! ~3 }' ~; x$ i- r8 {
   end if;
作者: ssejack1    時間: 2008-12-26 09:38 AM
--============================================================& L; H# A/ Y: X' }
-- key debounce timer8 f) c% v7 B' n* K) A
--============================================================4 ~' Y  u& A# A4 e1 ~
  PROCESS (CLK,RSTn,PB,pb_reg,debounce_counting,debounce_end)
2 z( u! r; F0 r7 F   BEGIN. M8 E7 {- g6 F6 c3 X
   if( RSTn = '0') then& d  ^2 }: z6 P; d% [7 ^1 H
              debounce_end <= '0';
  K. y- A* @3 h           debounce_counter <= debounce_time;
+ C& h8 w0 _1 u2 N   elsif( CLK 'event and CLK ='1')then
+ w( [, ~1 T; Q0 u% Y            if( debounce_counting  ='1')then
$ g, x8 C) ?  C9 J( g: m                    if( debounce_count = "1111111111111111")then9 p& `# K5 g. z
                            debounce_end <= '1';+ e. y7 z$ _( C$ W
                            debounce_counter <= debounce_time;
7 U3 T2 @( H+ H& P  ~  j                    else       
& ~  N) D& b- v                            debounce_end <= '0';; b& V6 O, |# t' f: ]$ e+ V
                               debounce_count = debounce_count+1 ;( z/ e6 U0 n# x9 z2 ]
                       end if;       
1 E! D& z' b6 f  v1 u' {: Z2 H3 o& Y            end if;  9 a- n) J4 D- @
   end if;
% R" E% p3 |  k0 D+ Z6 J           ! I7 E7 B9 a& Y4 ^8 F: {1 v
--============================================================
: k. `/ \% e; ~, X-- key function control
6 a. i7 v7 H: w- d, |* s  e( ?-- PAUSE -(key push)-> START_COUNT -(key push)-> PAUSE -(key push)-> START_COUNT
# G4 D9 P% v& o" _--============================================================: c9 y4 c! Y8 d
  PROCESS (CLK,RSTn,pb_reg,debounce_end)" W& O' l2 [( A" v- D+ p- N# C$ N
   BEGIN6 D7 ?/ r, U8 Z! \: o" n% o
   if( RSTn = '0') then
" q, y: B, D, Y# ]! f( t0 Q$ ]              currently_state <= "10";        -- pause4 c0 e' T2 h, h% l7 P; k
   elsif( CLK 'event and CLK ='1')then
+ L3 F& V  r8 Z0 a( J$ q  R7 A            if( debounce_end  ='1' and pb_reg ='1')then
+ |; l: O0 P$ M1 l3 Z                    currently_state <= currently_state(0)& currently_state(1);+ z6 t. j& i. i; u3 S& n$ a8 I
            end if;  
- P5 Z3 q1 ]$ d* F+ H7 K   end if;        6 m+ N2 {  Z2 e8 e. M9 ?
                 START_COUNT        <= currently_state(0);
) ^7 D0 v/ r( W  {6 ?  L+ _                 PAUSE                <= currently_state(1);
1 _% f3 m, H; S! z# f. R: h* j% H( D/ b! Q
   END PROCESS ;
1 X+ d1 x0 A& @, U: \7 L# ]END arc;




歡迎光臨 Chip123 科技應用創新平台 (http://www.chip123.com.tw/) Powered by Discuz! X3.2