Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10502|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上. ?9 r5 X1 U+ u) n
verilog中讀取BMP檔
$ p/ N4 h7 \6 e程式碼如下:
  1. module test;
    2 a9 [; V4 N* |; C8 N7 ^
  2.   integer fileId, i, cc;3 F4 A! J2 Y% T' u4 \6 d
  3.   reg [7:0]  bmp_data [0:2000000];( G  A: I. X: w6 P: k4 [
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;+ [) s. J( p% K4 u  U
  5. % r/ _# j: X; w- ^( Q" x5 @" T: W
  6.   initial begin8 H7 C1 ^6 D2 W: n$ ]
  7.     fileId = $fopen("gray.bmp","rb");' {# p/ Q2 v8 V# w  i
  8.     cc = $fread(bmp_data, fileId);
    ( w5 P- I' o, Y- k( _) d) H
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
    3 ?. v5 w" N0 z: P- z/ b4 L
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};
    9 V5 i. ^( _- f/ X, g  n1 y8 R: U* c4 T
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};6 x/ ]- s/ ~0 Q* n$ ?
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};) ~& A. y" M5 y6 T
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin
      E7 X$ R- F# O% \- J% h6 Z
  14.       $display("%h",bmp_data[i]);5 x) o+ q  Z! K4 `! G
  15.     end
    * y7 T6 H: ]8 Y& R& I
  16.     $fclose(fileId);
    6 z5 l4 Z' d  ?/ l+ h0 P
  17.   end$ ?5 S( L# ?5 R- `' o; @
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!
* x5 m5 p. D3 j學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-8 09:16 PM , Processed in 0.101006 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表