Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10548|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
( W0 W! J, p# l; I' W6 Jverilog中讀取BMP檔
* ]" V7 q. N. X% f4 _9 t程式碼如下:
  1. module test;$ i: H3 X% d- l/ W; T0 ~8 o
  2.   integer fileId, i, cc;  T- o; g8 {7 c0 T4 ?5 [
  3.   reg [7:0]  bmp_data [0:2000000];% f$ h  e; W2 Y
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;' d6 v6 ?0 ]$ X6 r
  5. + r! m  M0 r- M) z
  6.   initial begin
    & Z. ~4 g- n# c8 ^, k, S
  7.     fileId = $fopen("gray.bmp","rb");5 Z$ s& N% L2 _
  8.     cc = $fread(bmp_data, fileId);
    3 v" L  U6 w8 k- h
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};" Y# B+ z4 T6 z6 {( C4 h  K" K/ j
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};" t2 y0 {2 S9 w- a" y! `! s
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};' b9 _6 l" H: ^/ ~  Y
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};
    9 }9 ~2 x! w" F6 V1 X: h* }
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin
    2 ]2 K2 r) s- X% K- D% ~
  14.       $display("%h",bmp_data[i]);
    0 k1 {# O$ v' L, o% ^0 e
  15.     end
    4 F1 ]0 ~- V- O6 w4 d, F$ q
  16.     $fclose(fileId);4 J" D* U/ R! b
  17.   end
    3 K4 n2 o: W7 K2 f$ h' e8 u
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!
& B6 M; w/ c# |% {$ I" r學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-30 02:25 PM , Processed in 0.121016 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表