Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 47686|回復: 62
打印 上一主題 下一主題

[好康相報] Riding the Process Curve at 28nm and Beyond

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-9-1 16:15:08 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
賽靈思將出席 SEMICON Taiwan 2011 e-Manufacturing & Design Collaboration Symposium( e  F+ ]/ x9 N$ y
' E0 m2 G( y" {) e  Q+ r
全球可編程平台領導廠商美商賽靈思(Xilinx, Inc.(NASDAQ:XLNX))今日宣布將安排其公司資深高階主管出席將於新竹舉辦的e-Manufacturing & Design Collaboration Symposium 2011,和由SEMICON Taiwan 2011國際半導體展所舉辦的第一屆「SiP Global Summit—系統級封測國際高峰論壇」及DigiTimes所主辦的「What if? The Benefits of TSV at its Most Feasible」研討會。賽靈思全球品質控管和新產品導入資深副總裁湯立人與賽靈思可編程平台開發事業部資深副總裁Victor Peng,將於會中分享如何成功運用28奈米及更高製程技術曲線之關鍵因素,以及目前在2.5D 與3D IC設計方面的創新訊息!
( U; `5 E8 Z7 N- D; W

下列為賽靈思將出席之論壇時程規劃:

201196星期二

論壇名稱:e-Manufacturing & Design Collaboration Symposium 2011

賽靈思專題題目:Riding the Process Curve at 28nm and Beyond

議程時程:

1:30-5:00 p.m.論壇全程時間

1:30-2:15 p.m.賽靈思專題演講論壇地點:新竹國賓大飯店十樓國際B

e-Manufacturing & Design Collaboration Symposium 2011是由國際半導體製造論壇(ISSM)所舉辦的聯合論壇,會中將針對跨產業營運、聯盟策略、科技創新與商業合作進行討論。賽靈思資深副總裁湯立人先生將於論壇中分享以「Riding the Process Curve at 28nm and Beyond」為題之專題演說,時間為下午1點30分至2點15分,此專題將帶領與會者一窺賽靈思如何在新製程節點方面領先業界之關鍵策略,並透過推出PLD業界中首款最大的28奈米FPGA產品邁向成功!

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2011-9-1 16:16:07 | 顯示全部樓層

201197星期三

論壇名稱:DigiTimes 3D IC技術及產品應用趨勢研討會

賽靈思專題題目:What if? - The Benefits of TSV at its Most Feasible

議程時程:

3:00-7:00 p.m. 研討會全程時間

3:30-4:00 p.m. 賽靈思專題演講

論壇地點:台北君悅大飯店三樓鵲迎廳

賽靈思資深副總裁Victor Peng將會在SEMICON Taiwan 2011期間參與由DigiTimes所主辦的研討會,與DigiTimes Research資深分析師柴煥欣及多位業界領導者共同發表演說,並參與由DigiTimes的Coco Chen所主持之圓桌討論。

201198星期四

論壇名稱:3D IC 技術趨勢論壇 - 迎接2.5與3D ICs時代

賽靈思專題題目:Realizing a Two Million Logic Cell 28nm FPGA with Stacked Silicon Interconnect Technology

議程時程:

8:30 a.m.-5:30 p.m. 論壇全程時間

9:40-10:10 a.m. 賽靈思專題演講

論壇地點:台北世界貿易中心 - 台北國際會議中心201ABC會議室


. Y9 [2 P& u4 s

在本次論壇中,將邀請代表產業生態體系中關鍵環節的業界領導者透過針對技術路線圖、供應鏈製造準備、商業模式與產品標準化等議題進行經驗分享。當日議程與演講者相關資訊請參考下列網頁連結:系統級封測國際高峰論壇。賽靈思資深副總裁Victor Peng的演說將以「Realizing a Two Million Logic Cell 28nm FPGA with Stacked Silicon Interconnect Technology為題,分享最先進的28奈米 2.5D與3D IC技術,其講座將於上午940分開始

3#
 樓主| 發表於 2011-9-7 12:40:16 | 顯示全部樓層
Altera發佈業界第一款28-nm FPGA開發套件 採用全功能Stratix V GX FPGA的開發套件加速了寬頻系統的開發2 V6 P( A- N* E$ _. K6 L

0 n4 s+ _" U" j: |3 u2011年9月7日,台灣——Altera公司(NASDAQ:ALTR)今天宣佈開始提供第一款採用28-nm FPGA的開發套件——Stratix® V GX FPGA訊號完整性套件,在推動業界28-nm FPGA發展方面建立了新的里程碑。這一個全功能套件支援設計工程師加速高性能系統的設計和開發,滿足業界對提高頻寬的需求。Stratix V GX FPGA訊號完整性開發套件為用戶提供的平臺,能夠測量並評估從600 Mbps到12.5 Gbps的收發器鏈路性能。3 j3 O! S- l; ]. X! m+ _# t
6 ~3 u) X6 L5 q
Stratix V GX FPGA訊號完整性開發套件採用高階等化功能,可實現元件的高速序列收發器。用戶可以使用板上SMA以及包括Molex® Impact®和Amphenol® XCede®在內的常見背板連接器來進行真實的系統分析。這些內置高速背板連接器可用於評估訂製背板的性能以及鏈路BER。用戶還可以透過使用方便的使用者介面來產生並檢查擬隨機二進位序列(PRBS)的樣板。
! K  Y, ~1 \( Z, z% U1 }' w* o0 \5 d& R
LeCroy公司Bogatin企業訊號完整性專家Eric Bogatin博士表示:「為滿足當今高階通訊系統日益增長的資料速率和頻寬需求,SERDES供應商加大了在硬體上的訊號和電源完整性的投入。Altera的Stratix V收發器訊號完整性開發套件為評估用戶應用的收發器性能提供了理想的平臺,加速新設計的開發。」1 A2 l& M# E& `1 E( `# q, X8 G

& T7 q5 L6 D. \& P6 O; S" V, o利用Stratix V FPGA訊號完整性開發套件,用戶可以驗證目前最流行通訊協定標準的相容性,包括10GbE、10GBASE-KR、PCI Express® (PCIe®) Gen1, Gen2和Gen3、Serial RapidIO®、Gigabit Ethernet (GbE)、10 GbE XAUI、CEI-6G、CEI-11G、HD-SDI、Interlaken和光纖通道等。開發套件含有一片採用Stratix V GX FPGA架構的開發板,Quartus® II軟體的一年授權,以及設計實例,還可以使用Altera的MegaCore® IP函式庫,包括Nios® II嵌入式設計套裝。
' \: Q0 i, Q& g$ G+ N5 }
$ N2 A+ }% p" y" L價格和供貨資訊8 Q$ M) d5 o  P
現在已經開始發售Stratix V GX FPGA訊號完整性開發套件,價格是4,995美元。
4#
 樓主| 發表於 2011-10-11 08:38:14 | 顯示全部樓層

超微技術論壇大秀28奈米新產品

【台北訊】超微(AMD)5日在台舉辦第七屆年度AMD Fusion技術論壇,向台灣產業體系夥伴展示多項AMD領先全球的創新技術,其中更展示採用28奈米製程的新一代繪圖處理器,合計今年參與人數超過八百多人次,突破歷年記錄。
% H: d) h" _1 y) s+ T( J
. q3 N5 O+ j' Q0 x4 F論壇中,超微更對外公布,截至今年第二季,支援DirectX11的繪圖運算加速處理器APU 家族合併出貨已經超過7千萬顆。預計2012年將發表全新一代,搭載「Bulldozer」核心,代號為「Trinity」的APU產品,擁有更佳的省電能力和優異的效能,專為超輕薄筆記型電腦與小尺寸電腦所設計,讓使用者擁有超強的電池續航力、身臨其境的多媒體享受、輕便的產品外型,及最極致的使用經驗。3 U4 r( N7 U! n) h, S+ S6 ]

+ G! a+ @3 V6 q此外,超微全球副總裁暨繪圖產品事業群總經理MattSkynner在論壇中展28奈米製程的新一代繪圖處理器,更對外宣布第一批使用新x86架構的Bulldozer處理器,代號為「Interlagos」的16核心伺服器處理器,與代號為「Zambezi」的FX桌上型處理器,將在今年第四季面市。其中,即將推出的8核心AMD FX桌上型處理器超頻成績,榮登金氏世界紀錄「最高時脈的電腦處理器」之封號。
5#
 樓主| 發表於 2011-10-21 12:03:23 | 顯示全部樓層
聯華電子與新思科技合作開發應用於28奈米製程技術之DesignWare IP1 |; x7 u# m$ z5 o% G
雙方就聯電之Poly SiON HLP製程的嵌入式記憶體及邏輯庫進行合作 以生產高效能、低功耗之SoC 0 n  Z9 _3 @4 Q' j$ R
: c* ^; B  \4 X' Y  }6 A. J$ l
(台北訊) 半導體晶圓專工領導廠商聯華電子與全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)今日宣布擴大合作關係,共同開發用於聯電28奈米HLP Poly SiON製程之DesignWare® IP。新思科技進一步擴展先前在聯電40及55奈米製程上的成功經驗,計畫將經過驗證之DesignWare嵌入式記憶體(embedded memories)及邏輯庫(logic library)用於聯電28奈米HLP Poly SiON製程技術中。此次合作將讓設計人員得以較低風險同時縮短上市時程的方式生產高速、低功耗的SoC。雙方的長期合作已成功開發出應用於聯電180到28奈米製程的高品質DesignWare IP。
" Z+ d8 h1 U4 s* _1 L9 y  C% J" ~) a( h, e2 |" G" j
除了保留傳統Poly SiON閘極堆疊(gate stack)的成本優勢及使用專利製程技術外,聯電的28HLP製程技術可提供其他28奈米HLP Poly SiON產品所不及的高成本效益以及效能和功耗的臻進。強化的28奈米HLP Poly SiON製程提供平順的40奈米遷移路徑(migration path),便於設計的採用且可加速上市時程。+ P6 C) e' r" W6 B3 j

3 }8 ~7 U8 `! p! s+ ~聯華電子先進技術開發處副總經理簡山傑表示:「聯電與新思科技的密切合作已維持多年且橫跨不同的技術世代。新思科技是一家值得信賴的IP領導廠商,雙方在28奈米製程上的擴大合作代表彼此在協助客戶開發複雜SoC設計上的承諾,而我們期待將這些下世代產品帶給我們的客戶。」
6#
 樓主| 發表於 2011-10-21 12:03:33 | 顯示全部樓層
新思科技廣泛的嵌入式記憶體和標準單元庫(cell library)產品內容不但針對速度、功率和面積進行優化,同時也已經矽晶驗證(silicon proven)並用於超過十億的晶片中。DesignWare嵌入式記憶體和邏輯庫包含諸如休眠、睡眠和關機等先進功耗管理功能,以及可協助延展行動應用裝置之電池續航力的功耗最佳化工具(Power Optimization Kit)。此外,新思科技的整合STAR Memory System®測試修復解決方案能讓設計人員在降低整體晶片面積的狀況下達成較佳的測試品質及較高的嵌入式記憶體產出。
; {# e  P" ?7 A# z
; U3 q$ q% Z# T% S5 ]新思科技IP及系統行銷副總裁John Koeter表示:「新思科技與聯電的客戶將受惠於雙方的合作,透過使用經聯電28奈米製程技術測試過的IP,其所設計的SoC產品將更顯出眾。就提供先進製程節點的高品質IP面向上,新思科技不斷交出漂亮的成績單,這層口碑讓設計人員對於能以較低的風險將DesignWare IP整合進SoC中且能有效掌握第一回晶片驗證(first-pass)的成功率深具信心。」
" z+ m) Y" a; [. B: C' g" l; g' F& k) ?
關於DesignWare IP
7 c+ g. S% b! X* q1 q  [# I: w# F8 @  `
新思科技乃一針對系統晶片設計提供高品質及經矽晶驗證之IP解決方案的領導廠商。DesignWare IP產品包含完整的介面IP解決方案,其中包括控制器、針對廣泛應用通訊協定的實體層(PHY)及驗證IP、類比IP、嵌入式記憶體、邏輯庫以及可配置處理器核心。此外,新思科技亦提供建構虛擬原型的SystemC轉換層級模型, 以用於軟體的快速開發以及矽前製程的開發。藉由其強大的IP開發方法、再利用工具,以及在品質和全面技術支援方面的密集投入,新思科技將協助設計人員加速產品上市時程及降低整合風險。與獲取更多DesignWare IP相關訊息,請參考下列網站: http://www.synopsys.com/designware; 或可上Twitter: http://twitter.com/designware_ip追蹤相關資訊。
7#
 樓主| 發表於 2012-3-28 15:23:09 | 顯示全部樓層
Altera發售Cyclone V系列—目前市場上功率消耗最低、成本最低的28-nm FPGA
; L  g/ b  ]7 H& @7 l; J0 H公司的最新發售完成了訂製28-nm全系列產品的推出
8 W# u1 I5 w- v) c3 S! h  ~2 @
* E! f* r- A% R2 e( N( C2012年3月28日,台灣  ——Altera公司(NASDAQ:ALTR)今天宣佈,開始發售其28-nm Cyclone® V FPGA。Cyclone V元件是目前市場上功率消耗最低、成本最低的28-nm FPGA。該系列透過整合的方式,前所未有的同時實現了高性能、低系統成本和低功率消耗,非常適合工業、無線、固網、軍事和汽車等市場應用。Cyclone V系列的發售,完成了Altera所發表的28-nm系列訂製產品,能提供最多種類的元件,包含從最大頻寬到最低功率消耗,以滿足用戶的特定設計需求。. x* l+ T6 l# w8 w/ H

0 p1 h5 Y$ ^$ D4 }! G4 Q+ t/ |1 aCyclone V系列採用了台積電(TSMC)的28-nm低功率消耗(28LP)製程進行開發,滿足了目前大批量低成本應用,對最低功率消耗、最低成本,以及最佳化的性能水準需求。與前幾代產品相比,該系列整體功率消耗降低了40%,靜態功率消耗降低了30%。Cyclone V FPGA提供功率消耗最低的序列收發器,每通道在5 Gbps時功率消耗只有88-mW,處理性能高達4,000-MIPS,而功率消耗不到1.8 W。此外,該系列整合了豐富的硬式核心矽智財模組,像是可支援400MHz DDR3的硬式記憶體控制器,以及支援多功能的PCI Express Gen2硬式IP模組,讓工程師能夠更進一步降低系統成本和功率消耗,縮短設計階段,同時突出產品優勢(請閱讀「採用Cyclone V FPGA降低設計、製造和除錯成本」 網播來瞭解更多資訊)。為保護寶貴的IP投入,該系列還提供最全面的設計保護功能,包括支援揮發性和非揮發性金鑰的256位元高階加密標準(AES)。
8#
 樓主| 發表於 2012-3-28 15:23:16 | 顯示全部樓層
Altera產品市場總監Patrick Dorsey表示:「全面完成Altera訂製28-nm系列產品的發售後,我們的用戶可以充分發揮業界最全系列產品的優勢,滿足其在性能、功率消耗和成本上獨特的系統需求。提高整合度、增強性能、降低功率消耗等技術要求越來越高,而對產品快速上市的要求也越來越複雜,因此,Cyclone V系列是低成本、大批量市場最合適的解決方案。」, y6 [9 w* j& d2 a3 {4 g/ x

: v& m" H# w$ U+ P/ c4 }6 DCyclone V FPGA簡介
1 p( q! C( Q4 M對於通訊協定橋接、馬達控制、廣播和掌上型設備等對低功率消耗和電路板空間要求較高的應用,Altera的Cyclone V FPGA系列是理想的選擇。該系列包括6種型號,支援設計人員選擇最適合其需求的元件 —— 只含有邏輯的E型號、3.125 Gbps收發器GX型號、5-Gbps收發器GT型號,以及整合了採用雙核心ARM®架構的硬式核心處理器系統(HPS)的SE、SX與ST SoC型號。6 d) f& `& q/ ?$ f6 L  |0 Z) Q4 T
+ l3 {: u! Q  d4 G$ P$ \8 c$ x8 W; i% P
Altera的28-nm FPGA系列是業界最全面的元件產品,訂製滿足了使用者的各種設計需求。該系列透過其Arria® V、Cyclone V和Stratix® V FPGA系列以及HardCopy® V ASIC系列,為使用者提供了清晰的差異化解決方案。公司在製程技術、架構、收發器技術和硬式IP模組上加大投入,設計人員採用該系列產品滿足了自己的成本、性能和低功率消耗需求,而且縮短了開發時間,減小了工作量。
: I9 _4 T& @/ V/ @$ R! ]: B
: o% y9 O& B' g/ X' Y- o' D% E現在已提供軟體支援,並已經開始發售Altera Cyclone V FPGA的工程樣品。
9#
 樓主| 發表於 2012-6-25 15:45:46 | 顯示全部樓層
德州儀器與 Altera 合作推出 Arria V FPGA 開發套件加速簡化 RF 設計 完整套件大幅縮短設計和驗證 RF 系統時間
* S+ j+ Y, r+ A- Y# @
9 w& v+ o9 W, g9 V. e(台北訊 ,2012 年 6 月 25 日)    德州儀器 (TI) 與 Altera宣佈推出28 nm Arria® V FPGA 完整 RF 開發套件,簡化 RF 系統原型設計。該Arria V FPGA RF 開發套件包含 完整RF 發送、接收和數位預失真回饋所需的軟硬體,將設計和驗證RF 系統所需時間從數月縮短至數星期,範圍涵蓋無線基地台、遠端網路架構 (remote radio head) 及軍事無線電情報設備等。; ~9 W, H- _7 o( Y8 C
- ?; |% S' H( k  G# [  f( b) c
Arria V FPGA RF 開發套件提供RF 開發人員Altera 最新28 nm FPGA、TI 最新類比數位轉換器 (ADC)、數位類比轉換器 (DAC) 與時脈產品。提供比同類解決方案高 2.5 倍的發送與數位預失真回饋頻寬,是業界首款支援高達 75 MHz 頻寬的完整主要及分集接收開發平台。
6 I9 B, x  a9 b$ L7 C
! l9 M+ x; p! G$ o7 m; e, nArria V FPGA RF 開發套件特性與優勢:7 }' }3 s- O; d. S. ~9 u! v) g
•        28 nm  Arria V FPGA:為無線應用提供最低總功耗,平衡成本與效能抉擇;# ~$ {8 E# a4 \
•        最高 500 MHz 發送與回饋頻寬:支援 100 MHz 發送頻寬與五階預失真校正;
+ F5 p1 I8 h; M•        最高 75 MHz 主要/分集接收頻寬:滿足多重載波 (multi-carrier)  3G 與 4G 標準的嚴格要求,提供 14 位元解析度與 31.5 dB 增益範圍;" x8 x3 t( y9 _4 E# \  v
•        低相位雜訊分數鎖相迴路 (PLL) /電壓控制振盪器 (VCO):可為發送、接收與回饋混頻器 (feedback mixer) 及調變器提供本地振盪器 (local oscillator) ;- I  @8 [8 r0 w" P: a3 a* s# U7 O
•        模組化設計:可快速無縫整合其它及下一代評估模組 (EVM)。# ^) z9 `  a: |! U7 T

. @/ n4 Q: V9 _6 G1 W5 SArria V FPGA RF 開發套件包括 Arria V FPGA 開發模組及以下 TI RF 元件:
0 ?/ \) v$ {' V+ U/ Y) J/ l6 {•        TSW30H84EVM:完整RF 發送參考設計,包括業界最低功耗 1.25 GSPS 四通道 16 位元數位類比轉換器 DAC34H84;" e' B# a/ l& q! b& @
•        TSW1266EVM:數位預失真回饋參考設計;* b, y) w5 Y# V' Y2 d. L1 m
•        TSW1265EVM:寬頻雙接收器參考設計,包括業界最低功耗雙通道 14 位元類比數位轉換器 ADS4249;
4 n& x6 C) N4 B/ J+ b5 j•        TSW3065EVM:獨立本地振盪器,採用 TRF3765 係數 (integer)及分數 PLL/VCO;
: p7 f' Q$ U- E  y4 S•        HSMC-ADC-Bridge 與 ArriaV-TI-Adapter:做為TI 及 Altera 硬體連接介面。( u9 v% F# a% b
        7 ~2 W; t3 O  J+ F  l5 ^
供貨與價格6 V9 o+ p" i/ n6 T0 s  A8 d7 P5 W
Arria V FPGA RF 開發套件已可透過艾睿電子 (Arrow Electronics) 訂購,每套建議售價為6,299 美元。
10#
 樓主| 發表於 2012-10-16 10:36:34 | 顯示全部樓層

Altera發表業界最高頻寬的28 nm中階FPGA

Arria V GZ拓展了Altera中階FPGA產品系列,以滿足廣播與通訊系統日益增長的頻寬需求
) [2 J7 C$ V  T+ Q3 }" f: ]
1 ?6 {' x7 f- Y; I2012年10月16日,台灣——Altera公司(NASDAQ:ALTR)今天宣佈,隨著Arria® V GZ系列的推出,結合了公司在業界領先的收發器技術,並提供超過兩個速率等級以上整體的核心效能,也就是效能提升了30%,進一步拓展了公司的28 nm系列產品。Altera這些最新的系列產品是設計用於滿足通訊與廣播應用中日益增長的頻寬需求,Arria V GZ FPGA具有36個支援背板的收發器,其運作速率高達12.5 Gbps,並且支援各種通訊協定。此外,系列產品具有可支援四個獨立的72位元寬度的DDR3 DIMM介面,並在1600 Mbps運作。在Arria V GZ中結合了收發器、架構上的效能,以及大量可用的晶片外記憶體介面,這在中階FPGA中是相當獨特的產品。
! @* w) ]0 D$ _$ m) l; \- Y3 q' @, t. H% @5 Z; n* O& ?) A
Altera公司零組件產品市場資深總監Patrick Dorsey評論表示:「客戶在設計高性能系統時面臨很多挑戰,包括要求在功率消耗和性能上達到均衡,而且還要提高晶片外記憶體的頻寬。Arria V GZ系列同時提供了高速序列收發器與外部記憶體功能,滿足設計人員在建立當前對頻寬需求殷切的資料處理系統上的需求。」# G; x- a* r: s, Z4 z
Arria V GZ元件的其他特性包括:+ I, h6 @: }7 L& N

- c9 P" u! h, Z, y) `•        第一款具有增強型PCI Express® Gen3通訊協定堆疊的中階FPGA。) I0 c- m4 i& w- Y/ Z# F7 P
•        採用軟式核心矽智財(IP)架構的記憶體控制器,進一步提高了靈活性。
/ o# [" k9 @& R+ |$ R/ y5 u$ m•        中階FPGA中很強的數位訊號處理(DSP)能力,提高了整合度。0 }/ ?  S, `% T" Z0 `
•        業界領先的防篡改特性,包括,高階加密標準(AES),以及使用方便的電路板上和電路板外金鑰程式設計等。& k4 |5 U+ E. b: E/ j! ]2 o4 y

, I- r# Z, Q- o2 @Arria V FPGA簡介/ `/ O2 A1 w2 i4 @
Altera的28-nm Arria V FPGA功率消耗比前一代產品低40%,實現了中階FPGA業界功率消耗最低的收發器。對於遠端射頻單元、長期發展規劃(LTE)無線通訊設備、演播室用混音器、10G/40G線路卡等中階應用,Arria V FPGA提供了最低的整體功率消耗,實現了最佳性能。
/ Q6 q/ K; t' Y2 D  S
: J8 Q* L1 M! @: C! t" z28-nm系列產品簡介3 u+ ^: [! e9 R- X" H
Altera的28-nm FPGA系列產品透過其Cyclone® V、Arria V、Stratix® V FPGA系列以及HardCopy® V ASIC系列,為使用者提供了明確的差異化解決方案。關於Altera 28 nm系列產品的詳細資訊,請瀏覽www.altera.com/28nmportfolio
/ m, O  F* D3 n0 U" n* _8 m價格和供貨資訊7 v+ s( q% E+ t( z- _5 q
0 F/ x) W' z* V( [% a
現在已可提供Arria V GZ FPGA,由Quartus® II軟體12.1版為其提供支援。
11#
 樓主| 發表於 2012-10-22 12:10:09 | 顯示全部樓層
博通推出全球第一款28nm多核心通訊處理器 更強大先進的安全性與效能,可滿足企業、資料中心與服務供應商的網路需求. i# {& h7 x$ O( f6 P% }# `$ |- w( i
9 b# T0 r6 V+ M9 b. b) e
新聞重點:
! a7 b: B/ L% m9 \" j5 Y. z* P! U- L9 E" I
·         業界 功能最完備的通訊處理器,可提升高達400%的效能1
( G5 ~/ U7 c( o* [7 m; H) I·         全備的功能,包括四指令執行、四執行緒、2GHz的亂序執行功能,以及安全加速技術
1 r8 I$ m2 i- c- b% a! u' D2 e3 D6 K·         拓展30億美元的通訊處理器市場,大幅提升市場與技術領導地位 ! C' I% S$ ~1 _
4 x, D) Z2 i" M* D
【台北訊,2012 年 10 月 19 日】全球有線及無線通訊半導體創新方案領導廠商博通(Broadcom)公司(Nasdaq: BRCM),宣布推出採用28奈米製程技術(nm)的XLP® 200系列。此低功耗、多核心的通訊處理器最佳化解決方案,能滿足企業、4G/LTE服務供應商、資料中心、雲端運算與軟體定義網路(SDN)對效能、擴充性和節能的需求。XLP® 200系列是博通成功整合NetLogic Microsystems技術的成果,將協助博通 在30億美元的通訊處理器市場上,佔有更重要的領導地位。如需更多資訊,請至www.broadcom.com$ p( K* P) Q9 j0 i7 A, `3 d
( {# i- D( k# c: |1 M$ \
博通 ® XLP 200系列是全球第一個採用28nm製程技術的多核通訊處理器,可提升高達400%的效能,並降低多達60%2的耗電率。XLP 200系列能為資料層、控制層與不同應用提供最佳效能,並兼具四指令執行(quad-issue)、四執行緒(quad threading)與高達2GHz的亂序(out-of-order)執行功能,同時也整合了網路與安全加速功能。此單晶片的功能一應俱全,是業界目前功能最完整的通訊處理器解決方案。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
12#
 樓主| 發表於 2012-10-22 12:11:03 | 顯示全部樓層
市場最完備的安全加速技術, S! `9 e6 H/ }5 P3 f; X& s
+ X; `1 |5 d8 z5 {1 q$ ]; }1 m
在惡意軟體與入侵威脅不斷攀升的網路與雲端環境中,XLP 200系列能提供最完整、且最高效能的安全功能,讓網路管理員能以線性速度,對網路流量進行全面檢查、加密、驗證與防護。XLP 200系列是由博通與全球頂尖的網路設備公司共同開發,也是首度整合語法處理引擎、第四代規則運算式(RegEx)引擎與多種自動加密和驗證處理引擎的產品,以提供完整的第七層(Layer 7)深度封包檢測(DPI)功能,並完全卸載需高度運算的安全作業,以減輕CPU核心的負擔。 4 n: L. _& Z: q- o6 g3 {  `
5 ^% P% i0 P. C5 S- Q3 c
場驅動力量  P% X1 K& L% k. U# j$ [
7 @$ X$ F0 s9 V3 Q3 x( I$ ]
·             至2016年3,全球IP流量預計將成長18倍。
2 v( j; ~! A' l; g·             至2020年3,連線裝置數量預計將成長至500億台(約全球人口的六倍)。
& r/ N) U% I, m3 T5 I2 R7 ~·             現今網路架構正面臨高流量成長的挑戰4。( ^7 D# D7 I' N9 ~# B
·             2012至2015年5,全球雲端IP流量的年複合平均成長率(CAGR)將達66%。
' w5 w  t. R/ ?, x' d, w. c2 H/ d& {
; N( e9 Z  z! g+ U4 w( ^5 U4 D6 ~XLP 200系列主要功能( b& }& [9 \8 k0 g. {# X/ ~9 q
! }7 E. t  @+ u4 K! Y0 [/ j4 p4 w' M
·             一流的處理器核心:兼具四指令執行、四執行緒與亂序執行功能
% F! d9 b% H: @) J8 c·             完備的安全加速技術:包括高效能的語法處理功能、DPI/RegEx引擎、加密/解密功能與驗證機制,為硬體提供無懈可擊的惡意軟體與安全威脅防護。  U# J2 H! }3 R% h$ m4 m
·             自動加速引擎模組:可卸載處理任務,讓核心處理器專注於其他高度運算需求的應用程式任務。# S4 i5 t( c$ P7 b9 T% w2 ?6 j
·             硬體加速功能:提升重要通訊功能的效能,例如封包排序、網路管理、壓縮/解壓縮與RAID5/6儲存等。, ]6 m  b2 _. \8 b
·             優異的處理器核心效能:改善預取效能,並降低預測錯誤所導致分支機構的損失& A- h- F. s( X/ o" K
·             處理器快取架構:MOESI+、三層式快取記憶體,與共用的16路集合關連式第三層(Layer 3)快取。  K: `7 L1 z* q1 v2 [% {
·             記憶體子系統:整合至晶片的DDR3記憶體控制器;可設定的通道寬度(40或72位元)。
# P/ ]9 d9 A6 L. t% Y! P·             快速傳訊網路系統:低延遲的高速系統,可讓NXCPU、加速引擎與輸入/輸出進行非侵入式的內部通訊與傳訊控制。
3 P3 w% o' J2 ?# \9 X·             軟體開發套件(SDK):完整的SDK、樣版和可立即使用的軟體元件,以加速產品上市。
13#
 樓主| 發表於 2012-10-22 12:11:09 | 顯示全部樓層
供應狀況% {5 `3 O& z0 a/ a$ L( Y, }! U

8 Y6 u. a/ z9 p; G# ?7 \8 P+ b% `XLP 200系列提供多種裝置選擇,已開始樣品供貨,並將於2013年下半年開始量產。
1 L+ o) O5 O; s& {, A8 B( Y; B3 P+ U! h( ?3 Y) X. V  a9 z4 c- l
證言:
6 J/ M& ~1 P7 N) N, T3 J8 P$ ~  l4 A" N* c& G, y* e8 g. O
Ron Jankov,博通處理器與無線網路架構資深副總裁暨總經理
# y7 |, H* h6 R「我們的最新產品,採用28nm製程技術的 XLP-200系列處理器不僅具備一流的效能與低功耗,還能協助客戶打造高效率的網路環境,創造雙贏局面。博通在併購NetLogic八個月後,便推出此28奈米的產品,證明我們整合先進技術,並持續創新的能力。NetLogic Microsystem業界領先的產品結合博通的尖端技術、工具、集中的資源與生態系統,效果更是相得益彰。」 & w5 r( t9 i# f" i0 Q# F  h" s

  G! K* O& Q# o) U9 S# kSergis Mushell,Gartner首席分析師
1 u6 m/ d2 y  e. q" Q「併購NetLogic讓博通在嵌入式處理器市場中躍居重要地位,並大幅提升其品牌知名度。此業界第一款28奈米通訊晶片,不僅為博通寫下重要里程碑,也為嵌入式處理器的競爭態勢建立新標竿。此款新晶片將整合至博通的乙太網路晶片產品線中,屆時博通將成為資料層與控制層應用的主要供應商。」
/ C9 A3 n/ F) c1 {
7 W5 G$ ?2 L3 ?; o+ ]4 c) VLinley Gwennap, Linley創辦人與首席分析師
# i5 ]/ d6 Y+ Y1 J# \% h「藉由併購NetLogic,博通已成為主要的通訊處理器廠商,並率先推出28奈米產品,取得重要的市場競爭優勢。創新的XLP 200系列能滿足新一代網路設備的效能與節能需求,結合博通頂尖的乙太網路晶片產品,可以提供業界功能最完整的解決方案,並將博通的觸角伸展至高產值的控制層市場。」
( @( Z- s7 g( h3 e/ ^) q2 {0 q! e: c9 M  l* A5 I, T
參考資料:
( A% h1 E: b+ l9 g1相較於競爭對手的通訊處理器
* [; G, ~' E7 z6 t) t% _) _2相較於競爭對手的65奈米與40奈米解決方案
! a8 H' W5 j+ p9 C# a- \& w3思科可視性網路指數(Cisco Visual Networking Index) 2011至2016年預測與方法論
6 f+ S- N9 o' M4 Infonetics 2011年第4季服務供應商預測. D9 Q4 j& b; q& S5 |
5 2010至2015年雲端指數
14#
 樓主| 發表於 2013-5-9 13:38:25 | 顯示全部樓層

美高森美選擇英特爾代工服務開發數位積體電路

美高森美使用英特爾22奈米Tri-Gate 電晶體技術進行開發,預計於2014年底到 2015年初提供產品6 B$ B7 n3 I- X) k' q, P4 c

5 u1 v7 j, {0 n1 I" q* \: \" v0 p& _致力於提供幫助功率管理、安全、可靠與高性能半導體技術產品的領先供應商美高森美公司(Microsemi Corporation,紐約納斯達克交易所代號:MSCC)宣佈利用英特爾公司(紐約納斯達克交易所代號:INTC)業界領先的onshore代工技術和使用英特爾革新性22 奈米 (nm) 3D、三閘(Tri-Gate)電晶體技術,開發先進的高性能數位積體電路(IC)和系統單晶片(SoC)解決方案。
) V' i" b2 I0 F8 W9 n3 y: f; P1 S6 G0 o# N) U" Q6 }8 B) z
這項於2013年1月簽署的協定與美高森美的策略相一致,將充分利用公司廣泛的高技術組合增取更高性能和更高價值的機會。英特爾的三閘(Tri-Gate)電晶體提供了空前的性能和功效組合,使得美高森美能夠開發用於高性能運算、網路加速和訊號處理應用的數位IC產品。目前美高森美正在與客戶接洽,並且開始使用英特爾22 奈米 (nm)節點製程進行設計,預計將於2014年底到2015年初提供產品。
15#
 樓主| 發表於 2013-5-9 13:38:30 | 顯示全部樓層
美高森美積體電路事業部執行副總裁Paul Pickle表示:「我們針對的高價值應用需要具備獨特的性能和複雜的功能特性的半導體解決方案,借助使用英特爾的創新製程技術和經過矽產品驗證的IP,我們能夠為通訊和國防市場提供性能較高、功耗較低的數位IC產品,擴大在所服務之市場的機會。」" Y0 R! D' [( ?1 g8 C+ T3 D
" q; H1 X# H* a& P6 s
英特爾技術和製造事業部副總裁Sunit Rikhi表示:「英特爾很高興使用先進的22奈米(nm)製程技術和IP解決方案,來為美高森美提供數位IC解決方案。」
% v2 L- }, j% I% z! ]" s+ ] + _7 {4 ]. c9 P# g, K
關於英特爾3D Tri-Gate電晶體  Q/ l0 W+ i6 `" i: S

" K0 K; d9 d& f" e- q8 ?7 s英特爾公司的3D 三閘(Tri-Gate)電晶體是重新打造的電晶體。傳統的「平面」2D閘極換成3D矽晶薄片,垂直附在矽基板的表面上。薄片三個平面上各有一個閘極,用來控制電流,兩側各有一個,第三個則位於頂端,而不是像2D平面電晶體那樣只有在頂端處有唯一一個閘極。附加的控制元件讓電晶體在切換至「開啟」狀態時能流入更多電流(以提高效能),而且由於三閘結構的低寄生效應,當電晶體處於「關閉」狀態時可讓電流盡可能接近零(以達到最低耗電),並且讓電晶體能夠在兩個狀態之間非常快速地開關(同樣是為了提高效能)。在速度方面,22奈米(nm)電晶體在一秒鐘內的開關次數能夠遠遠超過10億次。
16#
 樓主| 發表於 2014-5-6 11:28:54 | 顯示全部樓層
Xilinx Kintex UltraScale FPGA成為業界首款7 f- y9 l' i4 q4 Q* m9 y
獲得PCI Express認證之20奈米元件 提供整合式PCI Express模組 實現高效能應用 ' w- L: y& u0 e; J0 c" e# S

( v6 N+ v* B4 `* s0 |* V2 A0 S7 s美商賽靈思 (Xilinx, Inc.;NASDAQ:XLNX)宣佈其Kintex® UltraScale™ FPGA成為業界首款通過PCI Express®相容性測試的20奈米元件,並已列入PCI-SIG® 整合元件廠商名單中。Kintex UltraScale FPGA為PCI Express內建了整合式端點模組,以實現高效能應用,在今年PCI-SIG組織於4月3日舉辦的最新測試中,已通過各項嚴格的電性、通訊協定和互通性測試。 6 H' G6 q1 D% `( G
5 ^. h2 W$ I4 F) M
UltraScale系列擁有多達8個連結和6個整合式PCIe®模組,支援PCI Express 3.0 (8 Gb/s) 的傳輸速度,可實現各種高傳輸應用,例如需要SRIOV可擴充式實體和虛擬功能的有線通訊和資料中心等應用。設計工程師可運用免費的整合式PCIe模組,滿足各種應用所需的高系統頻寬和可編程系統整合需求。
& v' m3 V2 O" I$ V+ y# a. m! t) v1 D4 J' q1 X; h6 L  k' j
賽靈思公司PCI Express產品行銷經理Ketan Mehta表示:「賽靈思的Kintex UltraScale FPGA元件獲得了PCI-SIG認證,再次達成另一項業界第一的里程碑。此款元件擁有業界最強固的收發器和Vivado®設計套件IPI (IP Integrator),可加速整合層級式和第三方廠商模組,因此可讓我們的客戶用最快的時間為產品加入各種具差異性的功能。」
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 03:31 AM , Processed in 0.135017 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表