|
剛接觸,看不懂,希望先進們可以解說一下程式,還有一直編譯錯誤,我不懂為什麼錯誤,如果可以也想請教一下
8 j( T8 M! L3 n2 P* H! M$ Q2 O) B6 o- ] \& r e1 C
'timescale 1ns/1ns# j' w( ~6 F% g1 `6 R+ m$ L1 I; l
& J1 o5 L1 {$ C$ X1 m* zmodule the_2-4_decoder_testing_and_certification_program; L0 O# b& J2 ~8 T- X+ ?, k2 t
0 E5 M" {. K7 r2 [
wire[3:0]y;# l+ O$ \" t# @7 f; j
reg a;7 o7 D& Z. z0 w5 {- o C0 g; y
reg b;
; _! I+ h1 W H: k7 C1 m: L
# o8 x$ Y1 C2 k6 o2 B0 i+ ?0 Ldeco2_4g UUT(
: Y4 k! \5 A* J9 m6 |6 X0 U, d .y(y),
7 B# q2 p1 N# Y: k* l9 W: Z2 M! `+ W* x .a(a),5 B5 {: R* }0 o: Q( L
.b(b));% W5 q" j6 ^$ G; ?4 u; e& h
+ k( T. s W9 v6 y& G/ @integer TX_FILE;8 ] f0 c& p1 `" n
integer TX_ERROR;
; @1 o. B V/ F7 o2 }
. o# j$ F1 g/ y; f Ainitial ) ~* W) K5 Y7 p5 `( U7 |& V t) a
begin
- ?. a( z% |3 V, U TX_ERROR=0;6 Z" a0 g5 H- S# }& [0 N
TX_FILE=$fopen("result.txt");+ ^9 R- m; p( R( U: ~2 g
9 \2 t. |- P6 {
a=1'b0;
9 F9 [8 |" [& E) B8 @' D' o: z b=1'b0;$ b* _% `& q! @( {* l0 h4 ~: ~2 d* L' I
+ Q1 R0 V$ f/ T& F/ |1 a8 O6 T2 o
#100( u+ n b+ _0 I* O- \% ?: g
a=1'b1;
& q! N2 u% w$ Y" O
$ |1 `- F' z( J' Z8 q3 j #100$ W$ P/ e1 e9 x f$ {
a=1'b0;
/ X" m6 T) l7 m8 `" k7 |2 y b=1'b1;- c" k8 ]% z0 f* H; V# U! P
4 x' H, }/ k; T$ y5 |9 J #100$ T2 E' z9 L% B _7 e
b=1'b1;& T! R3 \8 _) s1 I( K
8 ~ c/ v9 p9 V/ i) j
#150
3 R, s6 j- f% o6 b& } if(TX_ERROR==0)
$ t, L: e3 h- D! @ begin5 I. \% z2 d0 N1 i3 G. `% D* v/ w. C
$display("No errors or warning");" j) K9 T5 W5 @6 |0 }
$display(TX_FILE,"No errors or warning");0 G/ t3 x5 T+ V$ I0 `9 t
end else begin
: S, v5 c( @$ n. { $display("%d errors found in simulation",TX_ERROR);2 s6 @1 [9 ~5 A
$display(TX_FILE,"%d errors found in simulation",TX_ERROR);
7 v5 O# e' h: |" E0 @- E! [/ ^ end
4 J; a4 h" B" Q( R. }' ` $fclose(TX_FILE);
: Z3 w- f6 h" d3 p7 y+ v $stop;- U* y5 G: i! j& h
end
: \/ p {- W$ k) E; L' Q2 @
6 T( z1 ?" s1 K task CHECK_y;' }8 a% d$ `5 C% Z2 @7 ?
input[3:0]NEXT_y;
6 ^/ M$ k& w0 @$ \# \ #0 begin
; a1 S& |0 q# b& I6 n if(NEXT_y!==y)begin
) E& I, @/ q; k* r$ x $display("Error at time=%dns y=%b,expected=%b",
. X7 q7 Y- D/ Q1 Q $time,y,NEXT_y);# [ s: Y0 @, d3 `5 }* q& h; u: }
$fdisplay(TX_FILE,"Error at time=%dns y=%b,expected=%b", 1 y9 z* k1 z- q
$time,y,NEXT_y);
6 [+ I+ N9 n. l. I1 H- S. w# P TX_ERROR=TX_ERROR+1;: X6 Y# @) ^0 l/ }4 h# {6 S& r! S
end
8 d' z2 V0 P- T$ k* O& s( @7 q end
; Z5 [8 K" e F5 L5 Hendtask; Z: {2 [0 P0 H" d
endmodule |
|