Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 7140|回復: 6
打印 上一主題 下一主題

[問題求助] 請教modelsim無法跑出波形

  [複製鏈接]
1#
發表於 2008-2-11 10:27:05 | 顯示全部樓層
stimulus 要有 clock 電路才會動5 Q9 ?3 P" f7 c! o
6 n( w, N4 }4 l: m3 M- n9 e0 P
[ 本帖最後由 masonchung 於 2008-2-11 10:37 AM 編輯 ]
2#
發表於 2008-2-11 11:49:08 | 顯示全部樓層

回復 3# 的帖子

抱歉 . p( R4 E. n( K! O: T# Q! j
你要不要試試看, ]. H' N; e3 h& o8 h, }3 F8 K0 G
stimulus只加入
1 }/ F" G1 {8 z" V4 Z$ q`timescale 1ns/1ps
/ K: _( `  O( j" `
' A0 N: T% U: O; D2 u$ x4 S; W[ 本帖最後由 masonchung 於 2008-2-11 11:50 AM 編輯 ]
3#
發表於 2008-2-11 13:00:27 | 顯示全部樓層
請在 .v 和 stimulus 都加上 `timescale 1ns / 1ps) U( k- _2 ^. `
CLK 可以不用+ ?2 ^0 F; K' f, }
S0~S3 最好加上位元表示 (ex.: 1'b1); n& H6 k1 w. d& q
我在工作站這樣就可以用 ncverilog 模擬
9 N0 [, a7 Q- T' a- r# E2 c" M% F! n
Modelsim 的話
3 T* W) J' B* KCLK 可以不用輸入 mux4_to_1' ^! m% I4 _! z9 a
9 u9 t- N0 l, ]  {
再試試看喔
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 01:17 PM , Processed in 0.099005 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表