Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 113611|回復: 81
打印 上一主題 下一主題

[問題求助] 用verilog撰寫取對數log電路

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-4 19:41:00 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位大大:
# e2 \: v' x0 l$ _& s# E& g8 O) ^+ W: y& R' ]9 x
想請問如何用Verilog撰寫將輸入信號取log電路,不知是否有大大寫過或網路上有提供 free code5 R1 w# b1 s5 R# |& }' H  l

6 U0 `" w1 ], X) ^$ K0 D$ n- s, J謝謝
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂17 踩 分享分享
2#
發表於 2008-3-6 21:19:52 | 只看該作者
欲求A=Log(X),X為整數.A為fixed point.- {  }" x  ^+ `5 M- p# o
X 先normalized to 0.5~1的範圍.即X = a x 2^n (a = 0.5~1)
; x( h0 v. i, p5 Z+ d8 i% y0 X9 b再令a=1-h
  Q2 G- y( |1 s: I7 `& c6 ]則A=Log(X)=n*Log(2)+Log(a)2 K; u. d- k& d/ y0 ~0 f0 s
          =n*Log(2)-(h+h^2/2+h^3/3+h^4/4+ ....)------->>此為泰勒級數展開.
! G* ]) C6 S7 p( x: p/ @* V3 z* o7 R可參考一下verilog code.
! a- K* @! M* u: O& A

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x

評分

參與人數 5感謝 +24 Chipcoin +10 +10 收起 理由
davidwu0709 + 10 無私的分享 能讓大家受惠 謝謝! ...
alita + 10 感謝無私分享..!
ishoni + 4 無私的分享 能讓大家受惠 謝謝! ...
addn + 10 Good answer! 優質答案!
tommywgt + 10 感謝分享

查看全部評分

3#
 樓主| 發表於 2008-3-7 13:27:27 | 只看該作者
謝謝大大的解答,我會照您提供的code試試看,謝謝囉
4#
發表於 2008-3-31 09:56:49 | 只看該作者
我也對log的verilog寫法 很好奇 感謝您的程式
5#
發表於 2008-3-31 11:58:20 | 只看該作者
泰勒級數展開 =>great method
6#
發表於 2008-4-1 13:27:32 | 只看該作者

回復 2# 的帖子

Thanks a lot.  c9 f* S0 U" l0 ^2 r

% K' y$ N0 I9 G真的很受用哦!
$ x" t1 u& o) ]) [% F! G. X0 N
% S, C3 i+ ]4 a, U3 K! P- f6 H7 @
. P' [& k3 M+ T9 ^8 pThanks a lot.! H' J/ T2 f8 c. S; d8 q+ C, j2 F! p

: s* E" ~$ f, {" W( ^真的很受用哦!
7#
發表於 2008-4-20 10:03:50 | 只看該作者
rickfu:感謝分享阿~ 對初學者真是一大福音泰勒級數展開 =>great methodrickfu:感謝分享阿~ 對初學者真是一大福音泰勒級數展開 =>great methodrickfu:感謝分享阿~ 對初學者真是一大福音泰勒級數展開 =>great method
8#
發表於 2008-5-5 10:12:19 | 只看該作者
感謝分享喔!...
+ L+ c2 K: ]5 q" t/ N對這個code 很有興趣..." I- f- k( r0 E) Z7 ?
載來看看
9#
發表於 2008-5-8 22:28:20 | 只看該作者
很受用,楼主能对泰勒级数如此活用。很佩服。3 B1 f+ q8 _: d' v
谢谢共享代码。
10#
發表於 2008-5-8 22:54:35 | 只看該作者
但是我用MATLAB和Mathematica都無法作Log[X]的Taylor Series。1 P/ R' T6 i- `
很無奈。
+ |- n' k& r$ R& e  W+ _請指教。
11#
發表於 2008-5-14 17:58:06 | 只看該作者
Verilog 新手看到這個Code
2 e8 l6 c# D. Z% ?: r
; b/ w% L7 O: i  ^2 v2 {; D/ f希望可以快快完成老闆交代的工作..  p% H7 g: F1 v( V

5 T7 x: b! P$ }- m, A真是太感謝你提供程式啦!!!
12#
發表於 2008-5-16 11:57:05 | 只看該作者
請問一下!!為什麼input X=1,output Z=5, d$ H, `" {/ H& i0 u4 n+ n: W
似乎不是log的function# K0 R/ a4 s( L
可否舉個simulation 的例子$ ]/ a- ~+ h* c5 E& w2 P5 T* }
急~~~~~~~~~~阿~~~~~~~
13#
發表於 2008-5-19 15:11:08 | 只看該作者
急阿~~~
4 {+ Z# J; t6 j: J% T7 m' Z有人試過這各程式嗎????可以用嗎?????
14#
發表於 2008-5-21 14:30:38 | 只看該作者
有誰用過這個code的發表一下意見阿!!!!!
15#
發表於 2008-5-25 22:47:05 | 只看該作者
input X=20'b00000000000000000001* ~# _+ L+ B6 D8 k) U+ q+ T
output Z=20'b00000000000000000101
4 X, z5 `! ]$ y3 ?輸出格式要看成XXXX.XXXXXXXXXXXXXXXX  Fixed Point Value,就是Q4.16格式6 t4 v, |6 E" j* F
20'b00000000000000000101---->>代表0.0000762939453125,因為泰勒級數有限項數,不會為0.只是近似吧
16#
發表於 2008-5-31 20:35:55 | 只看該作者
想請問你一下可以大溉敘述一下原理和如何操作嗎??5 S1 ^2 z. e$ w6 W7 I
看了程式碼但仍然有許多的地方不懂.....
' {  ~5 i0 O" b) Y3 ~/ `$ H謝謝大大的分享
17#
發表於 2008-6-2 11:50:48 | 只看該作者
我也好想看∼∼∼∼* C1 t& N' F: F+ d. K' z- Z
謝謝大大您的分享∼∼∼∼∼∼
18#
發表於 2008-9-3 18:07:24 | 只看該作者

感謝分享

似乎是個很有趣的主題/ f! |0 A# j0 l3 X# e

. v4 t4 o, u( Z下載來研究看看
- ]6 n  o5 g$ d$ |5 P- E
' V2 ~. E. `' E" n9 L* h9 }' I感謝無私分享
19#
發表於 2008-9-6 10:57:14 | 只看該作者
想看看 k 大是如何解決這個問題# m; u& k3 z) N6 I# z' `6 M
感謝 K 大的分享 謝謝~
20#
發表於 2008-9-16 23:41:00 | 只看該作者
请问前辈X = a x 2^n (a = 0.5~1)是怎么来的?
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-21 02:24 PM , Processed in 0.127016 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表