Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 14279|回復: 6
打印 上一主題 下一主題

[問題求助] 關於Design Vision的問題

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-27 21:14:20 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
用工作站跑verilog的時候
" Z! Y, c$ R5 R6 P3 G在DV的階段  出現了一個警告
$ `$ O$ E) D& a5 f$ ^& @
6 r& C  U5 [0 M9 b( L# QWarning: Verilog writer has added 1 nets to module mem_ext using SYNOPSYS_UNCONNECTED_ as prefix.  Please use the change_names command to make the correct changes before invoking the verilog writer.  (VO-11)% X& Y; q( y* D( b
+ i) D" f+ R, k+ ]' n! Q6 q
這是代表我的code哪裡有問題呢
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂30 踩 分享分享
2#
發表於 2008-4-2 11:23:23 | 只看該作者
看起來mem_ext這module是已被synthesis後的verilog netlist, 會顯示Unconnect可能有input or output floating,, N7 |$ {0 Y8 e
若是input floating要查看是否有斷線或是沒設定initial value, output floating就沒關係
3#
 樓主| 發表於 2008-4-9 19:56:37 | 只看該作者
原來是floating的問題
; O! h8 Y- h/ ^+ }; V7 |9 K" E了解了. I" j# O( T7 w$ X% x% T/ M
感謝你的解答
9 {( Q" C1 N0 p, w. R, N4 }-----------------------------------------------------6 B3 i" m  m# G3 ]6 r
另外還有一個問題   也是在DV階段跑出來的warning 如下:+ k5 q# b) J( \% i

$ ^& V6 ?4 o9 S2 Y+ Tdesign_vision-xg-t> write_sdf -version 1.0 dpwm2.sdf& l9 n' X  n8 [  l. e3 X
Information: Annotated 'cell' delays are assumed to include load delay. (UID-282)
5 e4 `+ [* m# VInformation: Writing timing information to file '/export/home/stevetu/batman/dpwm2/dpwm2.sdf'. (WT-3), M; j7 j9 C1 O
Warning: Disabling timing arc between pins 'CDN' and 'Q' on cell 'mp_dpwm1/DFF_reg[102]'3 g( S4 [5 a8 X5 {, T# [) S
         to break a timing loop. (OPT-314)
- k; c2 G% a1 z- y, W/ Q, zWarning: Disabling timing arc between pins 'CDN' and 'Q' on cell 'mp_dpwm1/DFF_reg[10]'1 D1 e6 V  ~3 Z. O( M5 s$ _
         to break a timing loop. (OPT-314)8 ?/ X6 R  |; s* p) d+ M0 k+ @

  |" a9 x. k" K' l* e5 F+ Y& |+ B要怎麼判斷這些warning是必須要解決的
  z- s( t5 Z- j因為我還可以把波型合成出來
8 [( n0 b" x3 w* W9 B- M6 C可是我怕最後layout部份會有問題
; R; k  q" g+ O* b4 T
( ]$ |3 j) X- Z) D( C[ 本帖最後由 小人發 於 2008-4-9 08:32 PM 編輯 ]
4#
發表於 2008-4-9 21:51:15 | 只看該作者
看合成後的 netlist 是否產生 combinational loop 吧!
( o8 `! ^* ~8 ]+ ~' X: m如果確定合成沒錯, 即可忽略此訊息~
5#
 樓主| 發表於 2008-4-10 16:03:35 | 只看該作者

回復 4# 的帖子

要怎麼看阿 ~~
2 l9 j3 z( ?# u9 ]5 V* X. i怎麼確定合成沒錯; e1 a3 z# o5 g% L0 y5 K' P. N
還有combinational loop 這是要確定什麼
6#
 樓主| 發表於 2008-4-11 16:38:14 | 只看該作者
各位大大   可以幫我看一下這行verilog的問題出在哪嗎 0 H' Q$ d9 y, X0 }' `- p
我應該要怎麼修改才好% {: z3 w7 m2 x  r; H. Q

5 n( k# m/ P" |9 b, tassign       sum_8b[7:0] = {{mem[19:12]} + {A[8:0] + B[8:0] + C[8:0]}/2};
& h% Y0 R. @( v) e8 h2 j4 ]0 f: k3 `, }0 O' }' k! H* H$ w. H
因為是用工作站轉出netlist 然後再合成波形
5 O' R1 s( N: b1 O會出現幾個warning
7#
發表於 2008-4-14 11:27:56 | 只看該作者
我覺得把memory o/p 先register起來,並把(A+B+C)/2 o/p 也register起來,然後再去把兩者相加後再 register一級會對Timing比較好.
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-29 02:11 AM , Processed in 0.117007 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表