Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
樓主: chip123
打印 上一主題 下一主題

[市場探討] 智原科技採用捷碼(Magma)的FineSim SPICE電路模擬器

  [複製鏈接]
1#
發表於 2009-3-11 11:37:48 | 顯示全部樓層
Intrinsity全面採用捷碼科技Talus流程實現高速、低功率處理器的設計
" {. z6 x. J- }) W
捷碼科技幫助Intrinsity公司實現功率、面積最小化,同時將性能提升2

5 u/ V$ [; n* P) Y- @1 |1 ?4 h 6 W! a9 Y- [) c* J2 j
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼
; `8 I; Q9 y& C5 [2 a& x0 P' }$ uLAVA)日前宣佈,高速、低功率處理器提供商Intrinsity公司正式採用捷碼科技完整RTL-to-GDSII平臺——Talus®作為其IC設計流程靜態ASIC部分的實現平臺。, w8 L: j6 N7 R

' N& e8 X. X# x% ?# b  q6 I$ jIntrinsity公司利用自己專有的Fast14®快速靜態技術和1-of-N Domino Logic (NDL®)技術來設計高速、低功率處理器,日前之所以採用捷碼科技公司完整RTL-to-GDSII平臺,是由於在該公司開發基於靜態技術和NDL技術的最新設計當中捷碼科技軟體給人留下了深刻的印象。Talus DesignRTL合成功能、Talus Vortex的佈局與佈線功能與Intrinsity公司的Fast14設計技術的完美結合使得Intrinsity能夠雙倍提升工作頻率,在僅僅48小時時間內就完成了從最終RTLGDSII流程的設計。此外,Talus Power Pro有效處理多閾值電壓(multi-VT)庫的能力使得功率顯著降低。採用這款軟體,Intrinsity交付高性能處理器設計所需的設計人員要比使用傳統方式時要減少很多。; y# y% c: D- X9 @

* H# V! _0 Z- w! }, i Intrinsity致力於幫助半導體公司滿足今天的移動、網路、存儲以及其他高速嵌入式應用不斷增加的處理需求,”Intrinsity公司處理器工程部副總裁David Shippy表示,“Talus系統先進的IC實現技術可幫助我們持續提高性能、降低功率和面積、縮短基於我們突破性Fast14技術的處理器設計的開發週期。”
6 T. M$ `# A, ]
% L) ?2 J0 h: r! M$ s5 w“基於業界唯一的統一資料模型,Talus可同時自動優化時序、面積和功率,減少迭代並提高設計人員工作效率。獨特的系統架構結合與功能強大的介面還使得設計人員可以靈活微調進行優化,確保了設計可滿足所有參數並獲取最佳性能,”捷碼科技設計實施業務部總經理Kevin Moynihan表示,“Intrinsity公司雙倍提升工作頻率以及在兩天內完成設計流程的能力就是Talus作為高速、高性能設計最理想工具的最好證明。”
3 |+ p1 a; a" `8 K5 A% D
! q. W6 |5 b; y5 ^) S+ L
" u* u: y- N4 \  J9 {3 rTalus:高速、低功率設計平臺
9 H  G8 g, i7 }捷碼科技的Talus IC實現軟體是一款具備處理各種先進奈米工藝設計功能的一體化RTL-to-GDSII系統。作為首款可以對整個IC設計流程各個工藝進行處理的實現解決方案,Talus解決了更短上市時間問題;其前端設計系統為邏輯設計人員提供了快速、高容量、具有物理意識的合成功能;其物理設計系統採用了全新的優化、佈局與佈線和時鐘樹合成技術,解決了變異性和多模/多角複雜性問題;此外,Talus還提供了冗余通孔和有光刻意識佈線(litho-aware routing)等內嵌式DFM功能進行良率優化,實現了可製造性和可靠性的改善。7 @! m) I% i! z" F- i% s
! }: P- Q, O/ M& k
這款捷碼科技平臺還包括了先進的功率優化和管理功能,並且通過實施多種節能設計策略實現了最大的功率降低。Talus在整個RTL-to-GDSII流程中整合了低功率分析和優化引擎。它支援內嵌多閾值電壓(multi-Vt,)、自動化多電壓設計、採用同步多角優化和多電壓域(multi-Vdd)的自適應電壓縮放以及物理實現等各種先進技術,可滿足領先代工廠的動態功率和漏電功率需求。
2#
發表於 2009-3-11 12:01:38 | 顯示全部樓層
捷碼科技向LogicVision公司提供ATPG技術授權
授權協定確保了捷碼科技IC實現軟體與LogicVision公司DFT產品間持續互操作性

) ^2 X5 }2 L5 n' J7 c: A! N! n. l美國加州聖荷西  200925日– 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,公司已向領先的半導體內置自測(BIST)和診斷解決方案提供商LogicVision公司(納斯達克代碼:LGVN)提供了自動測試向量生成(ATPG)技術的授權。通過這項協議,LogicVision公司將能夠更快拓展產品組合,為客戶提供更全面的可測性設計(DFT)功能以改善測試品質、縮短奈米IC設計週期並降低奈米IC成本。此外,兩家公司還簽署了一份單獨協議,允許捷碼科技向其戰略性客戶分銷LogicVision產品。
+ Z2 y: U( g( M" g " P+ J: t# _! d8 s7 ?$ |# A0 ^
“當LogicVision提供先進ATPG技術支持等DFT技術專業知識時,捷碼科技將繼續關注實現、物理驗證和電路模擬方面的核心產品,”捷碼科技設計實施業務部總經理Kevin Moynihan表示,“先進的DFT產品不但可與捷碼科技的RTL-to-GDSII流程完全互操作,而且還可提供更短的設計週期和更好的結果。對先進DFT技術的持續支援將讓我們的客戶受益匪淺。”$ f( r+ c9 p. K: c+ ~+ _
/ ^& d' m0 M0 g, z. m0 [
LogicVision將基於捷碼科技的先進技術來開發、銷售和支持ATPGATPG壓縮解決方案。兩家公司將確保先進DFT功能與捷碼科技的IC實現軟體間緊密互操作性。: B. W, L( J6 {( B% E" A" Y4 Z

; x/ x  c( {. M, z, J3 O2 O3 _LogicVision利用捷碼科技的技術讓ATPG功能更上一個臺階3 i: B  S$ ?- i
LogicVision一直努力為客戶提供最全面DFT產品,此次開發ATPGATPG壓縮解決方案旨在為其業界領先的全速邏輯BIST功能提供補充。通過捷碼科技的各項關鍵技術,包括採用多線程架構設計的先進ATPG核心技術,ATPGATPG壓縮解決方案的開發速度將可大大地加快,這代表了能夠充分利用多處理器平臺的更高處理能力的下一代ATPG功能。正在開發中的新ATPG解決方案所提供測試速度預期要比現有商業解決方案會快得多。
/ Z7 L& ~: o- V
( ?- U/ i. o" I, ?* k- [# L LogicVision正致力於提供同類最佳的DFT解決方案,”LogicVision公司總裁兼首席執行官Jim Healy表示,“基於捷碼科技先進技術的新ATPG解決方案與我們業界領先的邏輯BIST解決方案的結合使用將確保我們的客戶擁有必要工具來滿足邏輯測試需要。”
  \9 _! F2 I, n& `* @, u
# |& e$ W' Q" R* }, y: T捷碼科技實現解決方案中整合BIST解決方案現已面市。首輪新ATPG解決方案客戶互動預期將於2009年秋啟動。
3#
發表於 2009-5-6 13:46:52 | 顯示全部樓層
原帖由 chip123 於 2007-1-23 03:38 PM 發表 + s1 k: p5 v1 }% T; a
降低模擬時間至 24 小時以下 其他競爭者的模擬器需時 26 天 / e! i1 a9 E( D$ v' m3 o
8 e4 o' V: h1 t& F6 j
【新竹 台灣.桑尼維爾 加州 】2007 年 01月 16日 . I8 B) C8 C, o0 X' M
半導體設計軟體供應商捷碼 (Magma) 設計自動化有限公司(納斯達克股票交易代碼: LAVA )今日宣布 ...
Panasonic選擇捷碼科技FineSim SPICE作為大型類比IP設計的標準驗證工具
快速、精確、高容量的SPICE類比技術現在被應用於生產類比設計流程中
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,全球最大的消費電子產品供應商之一Panasonic公司採用捷碼科技公司的具有線性多CPU技術的FineSim™ SPICE模擬器作為大型類比IP設計的驗證工具。通過在類比設計流程中使用FineSim SPICE模擬器,Panasonic公司設計師可以獲得快速、精確、高容量的SPICE類比技術,這就使得他們能夠對以往由於規模過大、過於複雜而不能類比的設計進行電路性能的驗證。這在生產之前就能精確預測到這些大型類比設計性能的能力可有效減少IC開發和製造所需的時間和工作量。. j7 g! O8 D1 ^; q
6 b, }; l* b' K3 R
“許多不同類型的類比電路設計都要求非常精確的SPICE模擬,”Panasonic公司戰略性半導體開發中心(SSDC)高級主管工程師Shiro Dosho博士表示。“如果複雜類比設計能夠進行完全模擬,那麼由於其極為敏感的屬性,採用SPICE模擬器驗證這些設計往往要花費數周時間。通過採用FineSim SPICE,我們看到它較常規SPICE模擬器實現了有效的加速,同時還能對規模更大得多的電路進行類比,這在以往SPICE模擬過程中是完全不可能做到的;而且,我們能夠在多重條件下驗證我們的設計。”' S+ \% |: H% ]9 K5 |
0 ~5 B0 F0 l+ h% N0 N* t! z
“通過利用捷碼科技的Native Parallel TechnologyTM,FineSim SPICE提供了真正線性多CPU性能和容量,同時還提供了矽物理性能精確的結果,”捷碼科技定制設計業務部總經理Anirudh Devgan表示。“這使得我們的客戶能確保達到預期利潤率,充滿信心地進行IC生產。我們很榮幸Panasonic公司全面採用我們的技術進行其最具挑戰性消費類IC的設計。”1 b8 P+ Y; S+ J& T- H9 c3 i" _' S# B
9 ]& P' C6 ?6 z7 M1 S, i
FineSim SPICE:快速精確的模擬
+ W1 H* G# a* E( P$ C! EFineSim SPICE是一款SPICE級模擬分析工具,包含有電晶體級數位和類比混合設計模擬分析功能。作為一款具有分散式處理功能的全SPICE類比引擎,它使得客戶能夠類比大型電晶體級混和信號系統晶片。通過在保持全SPICE精度的同時提供更快速度和更高容量,FineSim SPICE使得設計師能夠模擬ADC (類比數位轉換器)、DAC (數位類比轉換器)和千兆赫SERDES (SERializer/DESerializer)等先進的電路,這在以前他們甚至不會嘗試使用速度較慢的傳統SPICE模擬器來進行。
4#
發表於 2009-6-19 14:45:16 | 顯示全部樓層
捷碼科技QuickCap NX經驗證可支持台積電40奈米制程iRCX格式
這款3D場解算器可提供精確的晶片性能預測
7 q- T4 D: l$ G1 p: o6 H

  u! u. J3 S$ R, v" ?1 G$ [8 W) F- e美國加州聖荷西晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,QuickCap® NX已通過了驗證,可支持65奈米和40奈米制程積體電路(IC)的TSMC iRCX格式的寄生提取與建模精度需求。採用以iRCX格式提供的一致資料,設計師能夠使用捷碼科技QuickCap NX、基於最真實的物理電路3D表示法來提取精確的寄生電容值。精確的電容值是容性串擾、RC延時時間、功耗等許多晶片性能特性計算的關鍵;通過採用QuickCap NXiRCX格式,設計師能在IC製造前更精確地預測IC性能,使得他們能對晶片成功充滿信心地對進行晶片修改或晶片設計。
' c% ^8 ?; i8 H  D
2 H4 `+ M$ t5 r台積電iRCX是一種可互操作互連建模資料格式,可確保電阻/電容(RC)提取器、電遷移(EM)工具、功率完整性分析工具以及電磁模擬器的精度。作為台積電開放式創新平臺(Open Innovation Platform™OIP)的一部分,台積電與其設計工具合作夥伴聯合開發了幾種可互操作EDA介面格式,而iRCX就是所誕生的第一個成果。, W' O$ {1 W  n) e/ M2 P& h
; l2 E# y: O% l" b* Y7 ~
“在40奈米和65奈米節點,高頻率生成精確互連模型的能力可能造成設計流程瓶頸,”台積電(TSMC)設計服務市場部副總監Tom Quan表示。“通過共同合作證明QuickCap NX支持台積電iRCX格式,台積電和捷碼科技可確保設計師擁有最精確的模型、突破設計流程瓶頸並實現晶片設計一次成功。”
- R+ i$ O9 I' ~. a1 O . W; o1 c  {. o7 w/ B- }+ I
“台積電一直將QuickCap NX用作為特殊式樣結構和真實設計樣本上RC提取工具的標準差比較目標,”捷碼科技設計實施業務部總經理Premal Buch表示。“台積電對QuickCap NX支援iRCX的認證進一步堅定了我們雙方的客戶對‘捷碼科技3D場解算器是行業寄生提取黃金標準’的信心。”8 n# r# x) }4 X/ H8 |& @7 e

1 ~* b( l! W; @) M$ U; LQuickCap NX:寄生提取領域黃金標準
  q8 Y3 w6 x3 h+ ~7 Z2 pQuickCap NX常被主流半導體公司用作為寄生提取參考標準。作為一款高度精確的3D提取器,它可提供光學鄰近校正(OPC)、化學機械研磨(CMP)、梯形佈線等先進制程效應的精確建模。經證明,QuickCap NX通過與精確分析解決方案和晶片測量密切聯繫,可提供了晶片測量的1%以內的電容值。
$ C; a/ Q5 d2 ]
) }; j1 P6 Y  F, x* Z同時,通過提供有關每個網路的拔入精度和誤差界限報告,它還為用戶提供了對結果精度的完整控制,讓用戶對結果精度充滿信心。目前,QuickCap NX更密切匹配晶片測量的能力已得到領先的代工廠的確認;通過將制程效應考慮在內,QuickCap NX電容值與實際晶片測量間平均差已從9.79%降低為只有0.11%1 f/ S2 T! ]0 G9 _/ `: `6 Q
* ]  U& W# D) M0 M
捷碼科技流程中的QuickCap NX, |5 J) ^0 a( |7 J& R
在捷碼科技流程中,QuickCap NX可被用以進行佈局後分析。同時,QuickCap技術可被合併進Talus®物理設計軟體系統中以支援晶片實現期間高度精確的時序和噪音分析;它還可被用於計算TalusQuartz™ RC簽核提取工具內所用的高度精確的電容規則。
5#
發表於 2009-7-21 10:45:56 | 顯示全部樓層
捷碼科技宣佈推出支持SMIC 65奈米制程的低功率參考流程7 e  p9 R# {' ^3 ^. `% u$ _
這是一款可用於SMIC 65奈米庫的基於Talus的流程
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,一款面向中芯國際積體電路製造有限公司(SMIC65奈米制程和低漏電制程智財權(IP)的先進低功率IC實現參考流程正式面市。, W1 F1 K6 F8 f/ S2 Z3 c8 R
' \+ V1 ~7 R8 P* U% ~
SMIC65奈米邏輯技術集更高性能和更低功耗與更小節點制程才可提供的更高設計可能性和成本效率於一身。捷碼科技Talus® IC實現系統流程可為標準元件庫、功率管理工具包(PMK)以及記憶體編譯器等SMIC 65奈米低漏電制程智財權(IP)提供完全支援;同時,結合Talus Power Pro的實現流程通過貫穿整個流程地應用各類技術,能夠在最大程度提高產品性能的同時將功耗降至最低。此外,Talus還能夠有效縮短手機、個人媒體播放器、全球定位、數位電視、機項盒和移動存儲設備等大範圍消費性應用中所用IC的設計週期並降低其功耗。
3 X. o) {! F( w+ S2 c. J1 p 6 Z& z! A0 C5 n7 [) j
“捷碼科技對支援SMIC 65納米制程技術的先進低功率IC實現參考流程的開發很好地兌現了我們兩家公司為設計師提供可提高IC性能並降低IC功耗的工具及技術的承諾,”中芯國際設計服務部副總裁歐陽雄表示。2 {# b. I( |3 c" q

2 @+ H8 N  J, p7 L* `9 w: U' f9 ^Talus是唯一讓設計師能在單一環境中貫穿整個流程地解決功率問題的流程,”捷碼科技設計實施業務部總經理Premal Buch表示。“通過使用TalusSMIC客戶將能夠獲得具有最佳的高性能、低功率、短設計週期組合的複雜設計。”
" S8 l1 j( I( L0 x9 e# ^3 r . K% o, K0 m$ H& I
面市時間9 u, z6 Y  J4 u& J
這款參考流程現可供捷碼科技客戶免費使用。
6#
發表於 2011-8-23 09:25:35 | 顯示全部樓層
Chrontel Standardizes on Magma to Accelerate Development of Analog/Mixed-Signal ICs- ^) d# D2 w9 G+ d
Tight Integration of the Titan Analog/Mixed-Signal Design Platform, FineSim Simulation and Quartz DRC/LVS Physical Verification Solutions Delivers 50 Percent Improvement in Productivity9 ^5 K; |% q0 b- E
" Z# Q- i# y( _/ n; }% B# C6 h
SAN JOSE, Calif., Aug. 22, 2011 (GLOBE NEWSWIRE) -- Magma® Design Automation (NasdaqAVA), a provider of chip design software, today announced Chrontel, a leading provider of display interface ICs for personal computers, portable media players and smartphones, has standardized on the Titan™ Mixed-Signal Design Platform, FineSim™ SPICE and FineSim Pro circuit simulation, and Quartz™ DRC and Quartz LVS physical verification products. Chrontel selected the Magma software after an extensive evaluation and benchmarking of the Titan-based flow against other EDA flows resulted in a 50 percent improvement in productivity.; T5 S! K+ u* Y, H& K) r/ r2 D( R

$ k2 x! X4 ^' N! u' y"To keep up with the changing demands of the consumer market, our customers need a constant supply of innovative visual interface IC solutions," said Dr. David Soo, president and CEO of Chrontel. "Magma's comprehensive, highly integrated analog/mixed-signal design platform and simulation and verification solutions streamline our entire analog/mixed-signal design flow and allows us to deliver the high-quality, differentiated silicon our customers need — on time."! F8 {, X. O5 R  m* r
# \+ H; ?: u4 K( |1 B6 E. h: X) C
"With the tight integration of Titan, FineSim and Quartz, analog designers can leverage faster throughput, higher capacity and more automation to develop innovative analog/mixed-signal ICs faster and more cost effectively," said Anirudh Devgan, general manager of Magma's Custom Design Business Unit. "Chrontel's decision to standardize on the Titan-based flow illustrates how Magma's Silicon One initiative brings together superior technology, design expertise and our customers' creativity to deliver profit-driving differentiated silicon."0 U5 L; {. A) n1 n- Q
2 U0 c$ o) D2 R. F% n8 r5 W9 i5 ?
Silicon One Solution for Analog/Mixed-Signal Design
- t) t; ]3 U5 H2 r2 K# j
. i- W0 \& r% l  E5 N2 s  NWith Titan, FineSim and Quartz, Magma's Silicon One solution for analog/mixed-signal designs provides the highest speed, capacity, and the required accuracy to be able to handle even the largest analog/mixed-signal SoC designs. It includes Titan, a breakthrough analog automation and optimization product that is fully integrated into Magma's Talus® digital implementation platform. The Titan platform delivers first-time-correct, predictable mixed-signal designs, without sacrificing performance, and shortens the design process by weeks. With automated mixed-signal assembly and verification, Titan provides an order-of-magnitude productivity improvement over other tool flows. FineSim provides fast, high-capacity circuit simulation that can be deployed for MCU, FPGA, interface and power management chips to fully simulate and verify these designs. The fully scalable Quartz DRC and Quartz LVS provide a superior alternative to traditional tools, allowing designers to handle more complex designs in less time with existing hardware.
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-20 08:24 AM , Processed in 0.116015 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表