Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用2 W% |) T: J8 B  X
感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個3 N1 H$ `  u: ]: `! ]* h( n" G
輸入:clk, rst
/ l, Y) b: ^% j. i* ^5 A輸出:tens(十位數), units(個位數)* |+ H) a& m/ G4 l9 p! A
% ]' l1 r% N. A! V: r& G% ~: P
code :
5 }2 I9 G, V: A# e+ m; {3 [# _
8 E1 l0 [# w2 Y; ]2 Qmodule BCD(clk, rst, tens, units);
: N2 v* D- O$ b( ^* J  input clk, rst;
; S5 X: D1 B# K* A5 ^  output [2:0] tens;7 e& ~9 L9 e: c! `: o" u$ u2 X
  output [3:0] units;1 ~  k. p+ e( t. e" M
  reg [2:0] tens, xtens;
9 G6 N7 B9 M# R: Z/ y  reg [3:0] units, xunits;
- ?3 N0 p8 |! t; I" i5 f2 |
9 B1 K; l0 j$ C9 G6 E  K  always@(posedge clk or posedge rst)
+ e; F; n- Z- ^8 P5 T  x  begin, e) O) i2 U* F/ s+ }
    if(rst)
) {; `; D6 t- S& Z7 R8 U+ G1 c    begin
' B0 O  p+ B; A% X- l* W" O) k      tens <= 3'b0;7 \1 R9 E# W  V* Z) R5 U+ z6 I1 ~* A
      units <= 4'b0;
- F) h; h3 W+ l( w4 y! q, d    end2 C5 W$ G5 X- O  m
    else" f% I# U  \; }' G8 l$ _% ]
    begin! K( M+ r1 ?: }& D: e
      tens <= xtens;1 o' o8 t, Z7 c& d. Z2 V" T
      units <= xunits;
$ Y3 h3 U2 q# F0 W    end
  n4 y' f% G+ z7 @! j' {! l  end
& f( f* R; k# |* C: U* O+ X1 A
. a1 W% T% M: N! F3 {  always@(tens or units)* a% |. M$ t! P5 K/ r9 D+ L7 C1 h
  begin
4 }/ P' Y+ B+ F    if(units == 4'd9)5 q! Z* n6 I  X. O
    begin% t& d5 o/ `; E* R$ _; |* `
      xunits = 4'b0;
' B. l2 b& c1 @+ R' K, x      xtens = tens + 1;
' w, q% M( y- p1 @$ P      if(tens > 3'd5)
7 B% {( _$ C8 O        xtens = 3'b0;
7 q, ]. O) H; z: A* u* h: Z      else  |; O9 T5 p3 G& e4 v' O5 k5 _
        xtens = tens;
3 n' }. }1 n3 S8 \  `. E' q    end
: I. D+ C2 e3 t; u! u9 x    else
, w/ x# c, _, D( D$ B    begin+ S/ Q1 `8 X" l" R2 V- g5 K
      xunits = units + 1;
' m0 X) q9 K% S5 A# s      xtens = tens;% d" p: M+ e- v  m0 z
    end! Z. s! O7 Q, e; \) m
  end2 ?. ^5 r, S0 @  V8 _
endmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助
  o! J6 N6 `% P) k1 }, \感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔 ' K: |+ `. d" R4 P$ v1 w
/ r7 _! \8 X' t" L7 Q+ {' w" a" m2 T

% G; z* g; Y2 j, x   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話/ K1 E& @4 f9 p# g! E' a$ C# c
可以上網找有沒有verilog code參考
5 i1 V0 v4 @0 g然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!' E( V% K. f3 q8 ?4 n: ~7 E

/ j8 G. x. K% q* x- K6 i% A% w) v- ~# }* f: r
) y" k' `! ^! e6 [7 v

4 d3 z; X! Z2 M: h" P( ?
) z9 ^# V" x0 a/ O9 P. Y
7 J& K+ [( c1 p; z7 K" u6 m
+ T% L  \" [" c' _
$ Z2 h; i6 R# b6 m2 j7 F8 U/ ]+ C2 o

1 d1 {! j% W( A* {/ U
5 R( b" K! `% w% |) }bjcars.net
3 D; E( ^* u+ X
$ L+ u) ^; f9 [5 s, c& l: Q7 R3 x
) I& @% `# h2 I: h/ W2 W3 B
) h( {" X! {: Q/ `: h* y0 s6 j* t1 M( N" B0 c7 u! y5 }

+ f5 g$ o+ B) O9 M6 {
! v/ l7 Q; Y- f& K% w8 R& u2 }/ N0 {

0 n7 S1 u7 K* @* E- u! K! X; O' }+ \/ [

3 b- ?, D$ O( W1 e3 K$ r$ N9 L6 {0 B% h* w8 D5 C
, |8 q/ ^  W! w3 Y) ]% U( r1 X$ Z
北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~$ [, }; j0 s/ \- `1 C/ X! U' w* H
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料
) _# O% Y! d4 B6 S' b2 {3 h" k7 U
1 o3 A6 U- C6 N* q8 v: h: ]$ `/ i/ Q* b感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩' V6 ]% d& B# i$ d. A% j8 ~' c
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
8 `8 C7 f* S! E, `- c3 L" K( o這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料" m% ?0 |' h" N) w( E, X. Y
謝謝大分享的講義
3 V' `) ]% w% V/ \回覆來看看囉!!/ i' o% j. R! Z
感謝, d; J2 R' _% G5 Y' {
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 11:16 PM , Processed in 0.122516 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表