Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用
+ k8 v( ?* y/ F! ?/ K1 h. T6 a6 v感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個: `/ t( t  f$ @; z6 f3 W
輸入:clk, rst4 O% M; I3 x& @8 ^8 s% @+ r
輸出:tens(十位數), units(個位數)
2 P9 H3 f, f2 ~& N7 i6 n# z4 m" d6 f6 C9 e1 q0 N$ P  h
code :
8 u( A2 ~5 b* T) s6 z+ k1 p* Z" g2 b) G' x( P: t
module BCD(clk, rst, tens, units);
! {9 r$ L. Z) C+ E5 `( J. `  input clk, rst;% Q& J- a; `& j: e
  output [2:0] tens;
* t6 h. }4 s. R2 {" D( N  output [3:0] units;+ b, R8 Y  j& t1 u  K
  reg [2:0] tens, xtens;" ?6 r( H4 i0 E3 p' |+ S
  reg [3:0] units, xunits;
% O7 V8 I$ e- A; Y+ D3 [# m4 N! E1 s/ H: ]4 p1 k7 |* r
  always@(posedge clk or posedge rst)! K+ I' [, s2 O
  begin
. \6 P( X1 k$ C    if(rst)
: N; n5 F8 f7 B, p9 l2 g# o    begin0 S0 {( T4 B& l0 ?
      tens <= 3'b0;) \7 W+ G- |- z; }+ @
      units <= 4'b0;
9 c. n- R, N+ a    end3 Q  \0 s; O. {$ U+ y7 ^
    else
8 m$ J3 o  Q  _, m9 [+ ?; `    begin- H" m* n3 N: m8 M3 ?1 a: I. I
      tens <= xtens;1 J! W. n, ]  n" L6 @4 v: K
      units <= xunits;
; j$ O( m" \0 H3 k: p    end
  K- |8 g2 r+ b4 i* C/ G" M- L* Z' `  end  }8 b% I3 J. V+ z

( G* S9 V8 A# v& Z/ M' M  always@(tens or units)
3 {4 j6 ^  @% E. R& K4 U( d  begin; B9 s# j8 x+ s+ `) k. E
    if(units == 4'd9)
7 H: X/ i4 b  q/ H) |& v$ R    begin: V$ c4 Z! m, S# {
      xunits = 4'b0;
' t! g9 c" W1 B; P, t      xtens = tens + 1;8 x4 w' H3 V; k" I
      if(tens > 3'd5)5 p' y  C& C. h3 E
        xtens = 3'b0;/ `  z3 K$ T$ R6 i) \
      else! k6 R* O. K  C5 \3 e4 \- o
        xtens = tens;
) ?2 L7 Q) x4 M$ |    end
0 b! c3 l! u; P# A. `    else
! H) Y2 D" T% Q' a) G    begin& w2 M5 F: g: M: y& ]5 P
      xunits = units + 1;
6 T- `7 `5 Z& B3 G& _0 U- P* ~      xtens = tens;9 X( I/ b$ ?: K# q6 P( [0 Y
    end  h' s8 T/ k( Y0 W% P) V6 Y' }
  end
- e3 }5 f# g6 M3 ]/ z& p0 Jendmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助' J9 F. s( H8 ]7 P
感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔
; v. F0 w  S& B$ _& ^  I9 j# z
9 A+ \7 V' h" d0 F; q$ U% H6 }! A4 I* d* q2 g1 z- \
   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話, h' Z+ L  O6 y7 U' R) |, ^+ p
可以上網找有沒有verilog code參考5 T, m* c  n$ X/ \" j, g
然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!+ S: P' X2 r% f, b# P1 X
1 l7 @" |1 r: V/ O  ~5 H+ `

9 }  f0 w$ W! n- _8 Q& I5 D
; b+ ~; r: e. K1 Z/ b6 X7 a: R8 {) b: n; t9 P# I! ?' t

. S' ~! a# `  Y+ n! A) C6 l. C: f0 ~. g) O! s* [/ }

# H' v5 y9 |+ h- V3 p1 r
2 D) M( {. I. w/ S) R& d- X1 p4 c
6 c+ N/ O7 _# c4 p7 X

8 |6 |0 D7 ~2 J( P$ H: t2 Ubjcars.net
* k2 c3 ~' J, ^& Y4 L  z
! o2 [7 H; w6 S& U, ^* z
: l- e* a" \: m5 Q
6 k  c1 M9 C% l! P1 [
: f! j4 Y) D$ {2 _" {+ g
5 U" Y) h( P! s1 T" P- t4 {( R+ X' p* P2 Z' {/ E2 m, H; u+ p

9 A: N- w. `' h$ z- M/ e0 k4 J
. D, j- Q6 y  O% d
, D/ A% r3 y+ B; |3 t( X( C1 z: g- u! _* a

7 F4 X7 M6 c( Z8 k0 h& r. f; O/ K' n( R) p8 j, R
北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~6 T( J( x3 b3 d: j% P
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料" e; z$ x, J$ _# g- y/ t6 h

5 R5 S- _, s; }) \0 I! h& {感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩
/ L  T2 w) w& M
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
- n' C7 q2 P' \4 Z$ x0 |) T; Q這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料8 |# `- z4 L6 T7 ^
謝謝大分享的講義2 V% G. u- P3 e
回覆來看看囉!!
* }. O5 n' W4 m( D2 N) `3 e, S感謝5 _* i$ U  T* j) {2 B
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 04:42 AM , Processed in 0.133017 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表