Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 53368|回復: 51
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
跳轉到指定樓層
#
發表於 2007-8-28 10:40:06 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
如題8 b, R4 }. K3 K' e
小弟我目前在設計一個時鐘電路 需要一個記數器 不知道這個問題是不是屬於這個討論區
/ @' r$ j2 ?! l' o4 {3 E如果不是麻煩版主修改一下囉
# S# V9 X2 p* s7 W5 t7 b% O& [  ], |+ M7 I
目前這個記數器要能數0-9 接著就進位 然後自己reset繼續從0開始 進位後訊號再繼續由一個0-5的記數器開始記數
/ {9 i8 F0 s+ j3 q9 D% {總之就是能夠記數0-59, 個位數記數0-9, 十位數記數0-5& _+ a) M0 b6 c+ s: V
! s  P/ s: W7 ?& B
請問各位有人有設計過這樣的電路嗎? 或是要去哪裡找相關的資料勒?
/ s7 G/ t- F' d4 i" `- z$ _謝謝!

評分

參與人數 1 +4 收起 理由
kuannan + 4 謝謝小朱仔提供的code囉 對初學者 真是�

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
51#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料
% P! K: x0 P, |4 O6 P謝謝大分享的講義8 e, p# o% ^, w* ^. ]- h* ?
回覆來看看囉!!1 J, G$ i( P! ^* S. L
感謝
& A9 G  v6 Z! t+ p5 f4 Z
50#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
5 ^* O3 g: ^" t$ l) C- T這應該邏輯閘超多
49#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩7 f; d  ~' g0 c3 z* M5 [. M) l
48#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料$ v8 j. |( V2 t" z( z* X

% Y, }+ f" J3 }1 M1 J* k感謝分享!!!
47#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
46#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~# ~+ G. z* p0 B; w
45#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!
( M0 M8 u+ @# `4 n0 M* Z- z* M# \" M' a5 U0 J+ H
* h: Y/ f4 `7 e! P

1 m8 X9 ~( O& l$ B8 B7 W  N& R7 B/ i
5 a: e8 H- |5 c4 _
( M' d7 U$ h* z: Q6 d+ {
& c6 @/ y) x4 `$ t% k% E! f+ k! q& y7 j7 b
& X8 g- h3 {: X. W$ L" @( a9 B
) u* I6 _9 z. ^- R$ a( Z/ H) r6 J; D5 Y+ x* n6 U
: ~: T: M. p. [4 O  z

/ j9 e* U( Z! R2 i# @" O$ kbjcars.net
, n$ \4 Z0 S+ @3 |3 Y9 g" Y' y) t. C- z% o# y( q# ]3 G" y, V. ?+ B! ?

% Y' p4 g" Q& |9 ]. a  {
" o6 W, A) F/ G! d7 `. J  y" t! x) @( ?8 w9 t$ y- @* c: E: ?

; W/ W6 N! Z9 r+ r% U& N. c/ P1 K( q1 I+ y

9 ~" q& P5 \6 N# q; a4 p! b1 Z. M/ p, X7 y
  ~3 {9 e7 A/ Q7 N4 C4 D2 g! Q6 z

( T3 B5 I$ y5 u0 }/ g/ L
0 K+ ?5 [2 d8 v% n( W. S+ m; m8 h: J2 D7 s3 b* _; K: ]" H
北京搬家公司电话
44#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話
$ j. w& {. W; ~, f7 d* I' N' m可以上網找有沒有verilog code參考
6 g0 S/ A, Q4 m+ }* |. r然後合成電路後再參考tool畫的電路來畫
43#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔
0 m9 n2 i% m; r7 h6 n& ^$ N
" d# |1 w; a- z: A' W
8 f+ `& A* _7 n   感謝您的分享,讓小弟多一份資料可以研讀。
42#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助9 u: w: y4 v; @6 a
感謝分享!
41#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個
  f; X  Q7 y2 h: [% P輸入:clk, rst0 e4 c- h* u  H* b3 F) ?( K
輸出:tens(十位數), units(個位數). h$ T5 D7 o- ^0 J. I8 `" X& Q
  p* P7 j. ^& W& [8 Y/ v0 s
code : 1 M% b; f; X2 i  g$ [

  O& m+ b$ z. D$ `; {# ?/ amodule BCD(clk, rst, tens, units);
5 ~/ x+ c3 R. u6 G3 u: G( z  input clk, rst;
$ N' m; H. f; E8 w1 D  output [2:0] tens;4 S& \) y3 S* m
  output [3:0] units;8 ?  L4 ^, O) l: R" Z
  reg [2:0] tens, xtens;
# b" t: m* R2 j1 Y  reg [3:0] units, xunits;
5 H( ?4 s+ r7 N, Z6 I9 U2 K- [0 b6 e9 ?1 D1 S- w7 b! i# Z8 M
  always@(posedge clk or posedge rst)
: I6 t4 }6 S" B* C0 {  begin1 {. S& c) G6 Q9 `0 P6 y
    if(rst)
3 j# _, F4 \% J# S/ |, P+ d    begin9 b  w8 Z0 L% r+ k
      tens <= 3'b0;
& J0 e$ D% U1 ]: {; {      units <= 4'b0;
2 ?' n) `9 S; a( f    end  E" b. g5 C: N8 M
    else
2 y, \$ R# |& T5 H: u' Z    begin  g2 r! n: U) n8 S# z
      tens <= xtens;0 ^6 ?4 b$ B' p
      units <= xunits;& {# o9 z* Q$ m+ D, _! b6 V
    end
9 b& [" e1 `& Q! X9 n4 [2 t  end: O; \, ?$ u) ]! N

3 @) K" B9 z# ^6 e! s4 H( Y  always@(tens or units)8 e8 b5 ?( g- R
  begin: W4 P. D2 }, I$ ~/ ^
    if(units == 4'd9)
. n1 }# i. w* ~  @3 R' y2 w1 L: }    begin* v1 r3 ^( g; r  y0 e5 [, i% B+ I
      xunits = 4'b0;
! w: O5 ~+ x4 k* J' |$ B( q1 q; \      xtens = tens + 1;1 H8 c  k6 ^% e7 B2 ~
      if(tens > 3'd5)
2 `" o% v, x+ d: o. p        xtens = 3'b0;5 ~) f6 B, j& |( D
      else4 f9 C5 u+ N. j2 ?( [- @
        xtens = tens;
- S6 s* ~" {6 X' [    end4 M1 n( O2 x- w0 G$ [5 D+ k
    else9 A; D0 q) `/ F) o: g; b8 d9 t
    begin( h4 X' m8 D( c9 R$ X
      xunits = units + 1;
6 }* b6 U' }& h' S1 e7 A+ W      xtens = tens;
7 J" |* V! V. g: I( O& V( l    end$ y) Q0 X$ h# u3 n% v0 i
  end
) i1 y# m9 G8 ?  b, [8 d- G7 n4 Eendmodule
40#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用
! O5 I2 V# w) A# p  _: F感謝大大分享
39#
發表於 2011-3-7 23:03:11 | 只看該作者
剛接觸這方面不久~還是個小新手$ e& Y3 O( L( |4 \/ t
還有很多不了解的地方8 G2 Q0 J/ K% O9 B# Y4 @$ F$ Y9 a
這些資料幫助不小~
' k0 n1 u( a6 {( k8 n  I  C, Q& l感謝分享~
38#
發表於 2010-8-18 16:32:36 | 只看該作者
感謝大大的分享$ @" \# y4 ]1 Q9 c! v
剛好要需要用到這份資料
37#
發表於 2010-7-21 12:06:26 | 只看該作者
最近在寫控制Memory
6 N0 O2 S4 E2 e" f1 k3 l; b5 T也在想怎麼寫個counter來計算已經存取的個數
" _) s5 E. a' v1 f5 A: D以便進入下個狀態
% m1 _9 q- Z0 t( Z0 I+ P6 c謝謝2樓分享
36#
發表於 2010-7-2 20:18:29 | 只看該作者
正在學習fpga感謝大大分享
35#
發表於 2010-3-18 10:46:41 | 只看該作者
我也想看講議....4 u4 j( O0 J0 g, o, q
準備步入職場的初學者~"~
34#
發表於 2010-2-7 14:35:30 | 只看該作者
回復 1# monkeybad # m8 O3 Z' B3 d
0 b7 m5 k( p8 l% b& c2 v: \" M
感謝小朱仔提供的code!!
33#
發表於 2010-1-31 23:36:31 | 只看該作者
B C D 編碼器雖然後容易,但是是進入FPGA的一個小小程式
' }% U7 R5 O0 t: _5 J3 X還滿有趣的
32#
發表於 2010-1-24 14:33:52 | 只看該作者
我也想看看講義寫了些啥~
( _( r) \5 |4 Q% J. q" q9 d
3 x9 ~0 I. I, L" K* `: o7 R感謝分享~
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-14 01:17 PM , Processed in 0.131007 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表