Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3215|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:/ z2 _# |& W! |# o% j2 o
我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!
: J# `% `0 r* r! _3 L- B
& r. @. U, [& w9 h' v% y; ?: ILIBRARY ieee;
7 z1 Q% g/ J, aUSE ieee.std_logic_1164.all;
9 i! o1 H0 f* T% X: yUSE ieee.std_logic_arith.all;1 i+ ?0 H5 y7 k" e/ E

, k( K- f+ [7 `& }7 lENTITY memory_64 IS
3 ]  N* s0 V# E( O   PORT( ' N2 t+ O. J* i" {+ T; ?: o
      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );$ R  D  y$ ~% v/ j2 `
      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );
8 I: ?$ g6 w1 p0 l% E8 b      clr_l    : IN     std_logic;- Q# D0 b* @$ o
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 ); h( t; N. H' m+ S( ]- K# b
   );
# l, r. C# |! R6 ~
4 C( p- H- M6 \: t-- Declarations  R) K& k# m' Q0 I) o' S

7 G6 d* }" ^: o) PEND memory_64 ;
) d! t  |% w' W' [& M; y5 E6 r0 J
; f: ^. _) ~7 K--
  w# D$ h3 j4 o' gARCHITECTURE arch OF memory_64 IS
" x6 {- w8 n- X7 K: c" A-- column decoder
4 C7 y  O1 U" |0 x& m, h( Pcomponent mem_coldec: A: T! e' I/ }  O& b6 c- X& C
   PORT(   a) F: o: k, ?7 N
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );3 k% S5 I" `3 ~7 ?! U# ~: `
      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )  J' H2 @5 W; y/ Z% \% q" i2 A
   );: D& J7 ?9 h6 I9 G
end component;
6 F" y" g0 s$ ~-- row decoder8 t& G8 g- {8 I/ x" @2 }
component mem_rowdec8 d5 h+ K1 X- U3 Y$ \( O. [
   PORT(
; K9 z( T+ ~  a      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
5 n! N: k) a9 P4 I9 P: S0 G' t      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
& H% j& A' o3 S6 j3 P   );
. v. `. b4 I+ Bend component;   
' b. R5 \; m  C! D! F# v" ]! ~  f$ t-- latch array   : c5 r$ H. W: ~( I
component latch_cell
4 m; A( K# w) ]6 b9 |$ R# c- [7 R8 A     PORT(
7 {; k' a3 S. c" s6 j7 ?        clr_l    : IN     std_logic;
) W6 Y8 v3 U7 H, g4 b        col_sel  : IN     std_logic;
6 k( W+ {: h2 S+ I        row_sel  : IN     std_logic;        ! h) F  D7 R) l3 e( u* Y
        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
5 p$ I' X! M+ W$ d, }        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )" B/ E1 |  O# {' Y
     );
, Q3 u) S6 l' D, m7 y3 q" eend component;   
* s- x0 S+ r+ G/ J0 f
0 ~3 q8 j5 F5 `  f# esignal smem_out : std_logic_vector ( 5 downto 0 );  e1 T. z3 e) o/ k. y- i5 S/ F
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );2 g/ R' m$ ]/ R" a6 |. f7 q
BEGIN
/ N! h$ Q, s$ l, B: d1 m, h4 k+ Q* K  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);& h( X* e' S# x6 {+ n9 m% Z% \$ z
  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);
% i5 m2 G7 w2 R8 v8 V. B+ Y, R/ A  g0 : for i in 0 to 7 generate -- column generate
( C) b2 u$ R( L1 S    g1 : for j in 0 to 7 generate -- row generate' W1 u3 \; t# }2 j) J4 i
         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);9 h7 w, F! ^- U1 Q
    end generate;
2 B: j/ E" j- y7 }0 S( W' }  end generate;
8 L1 p& K5 R3 w# t* }9 D' G' ]) YEND ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM2 K, O. S  y5 }  v: @# _/ e

. X5 R' X, H6 H沒有什麼特別的啦^^...
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好! i# M5 w6 E4 t: w' V
不曉得你為甚麼要捨近求遠
% b- s# A4 h. v4 z, [. K: r& ?, R% ^可以說說這麼做的原因嗎?- q4 e3 n/ ~3 F: c, g; @' o! f
還有這是要做甚麼樣子的應用+ c9 e9 V' y! ^. L2 k2 d
謝謝
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好# L( s9 c* t2 z
不用將address分成row跟column
! B3 f* k: S& ^4 P1 _這樣只是將問題複雜化而已7 B' A! d1 c- `5 t6 W  D
你可以想成有64個6 bit的暫存器,來組成你要的ram
( ?9 G: r+ u& M% M
0 {5 T( i/ Q/ k  J. Y% y用陣列寫法,code比較簡潔,建議使用
2 O% F0 ?. L  k3 @* u3 P以下有範例,請參考
! W/ _% @0 `' S1 ohttp://www.doulos.com/knowhow/vh ... s/simple_ram_model/
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-29 07:35 AM , Processed in 0.122516 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表