Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4486|回復: 1
打印 上一主題 下一主題

Cortex-M0+ IP Core

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 11:22:50 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯
- K, U- X* `( b& b9 \% ~7 ~
. f; E3 w8 g& T% G% l3 s. d) `. H9 P; h3 f3 T( [- O

3 n3 `- Y- ]2 f6 }/ M( liconstart@gmail.com
! [( @2 H( v) q/ g: R4 K. z1 Y6 Y/ `" I* s; b
                                  AR085-DA-70000-r0p0-03rel0/
* u( Y3 e1 U) f, L                                  AR085-DA-70000-r0p0-03rel0/doc/
) h- K- Q0 d( \% _& b& w. o) G                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/
! w0 O7 [1 H7 e: k6 F2 \9 u" k4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf
7 o4 [% o, [9 ^- s                                  AR085-DC-11001-r0p0-04rel0/, D# l; _4 }* o) p+ [3 M9 a
                                  AR085-DC-11001-r0p0-04rel0/doc/: C$ c0 X1 h- d% t3 y
                                  AR085-DC-11001-r0p0-04rel0/doc/errata/
* J& w7 ?  G* O+ q57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf4 H7 T" }2 O- C# `7 ~' e2 N
                                  AT590-BU-11001-r0p1-00rel0/
' \* R4 C' q  S2 U9 v- pb2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf
& J$ [( ~2 I! z% I! Xd305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf: n! L' @  t9 ?7 v6 x
2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst
& r; H, o" ?( r" }3 p                                  AT590-BU-50000-r0p1-00rel0/
7 g: Z, I3 H4 v* B' v* l& q6 i1 F70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf
9 R/ F) W" }( h0 n: f                                  AT590-BU-50000-r0p1-00rel0/logical/
9 y5 x8 v0 m  r5 j  K9 e                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/
) @# d- `* f* [# ]" l+ B2 A                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/7 P1 D  m) D" g  o1 v  `  u
80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v
% L; t' @7 s5 W( Y& T8 b063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v
$ i- C; w$ d1 M4 T17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v* |2 z8 G+ O$ ?6 w
db6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v: v+ [0 g% C1 g. }& Q
12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v
9 P$ Y& E* i$ c8 `, [bb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v5 ]7 q; j3 i$ j
2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v
# k. O" ]( U) f3 H2 c# g% Q  _+ ~fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v) P3 }6 r; E$ J/ W. ]7 U% ?  r
72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v+ {4 H' X7 S) B0 B" }
6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v
7 f9 g" B+ `4 _764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v
" I! M( T# T5 z0 ~3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v- h+ o2 F7 N. R' B, `1 A, ~" g
75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v. m, f( r9 a- j
                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/5 k0 D3 p  E8 D# x4 @) Y# {- {
                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/
: i2 v% m# c' N2 J4 `  h95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v
4 I- r: d* r; s, ^0 s' U+ |, [5 x302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v
6 W$ N+ B! b' }fce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v
9 L7 N9 X+ Q  E( hc00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v. M2 c! X1 R6 e$ I1 {/ X* h, {# D
ed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v5 E: c* w2 f1 K" M0 z. m* t* T9 J' P
57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v
% }" S- H0 n* R( f6 g" M, q$ I66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v
' g/ d/ v5 Q( B6 w62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v
2 a. W: i' r. Y* B6 L& b% x4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v) L% ]+ X$ C6 v1 V3 s% Q) v2 W
a436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
推薦
發表於 2015-3-11 14:42:28 | 只看該作者
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!
回復 支持 0 反對 1

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 05:06 AM , Processed in 0.112006 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表