Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4514|回復: 1
打印 上一主題 下一主題

Cortex-M0+ IP Core

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 11:22:50 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯 ; S8 J1 r- p5 H

, _# v, R5 O& ^+ E% A8 _# _% P  b5 ~% O4 R$ p

# X( v0 K' W3 Y3 R- F1 a( liconstart@gmail.com
8 B( M- c9 M" Q. D) K' }& S/ a2 K  }7 d# j, l$ h
                                  AR085-DA-70000-r0p0-03rel0/, K7 k. Z6 U9 Q9 R' ]: f
                                  AR085-DA-70000-r0p0-03rel0/doc/
: _" X4 X1 e1 `* r; V% M- @# @                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/
* X6 ^2 V" P% k  m1 e  s4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf9 k+ S& X3 A) J7 ~4 w3 e2 y4 `7 y
                                  AR085-DC-11001-r0p0-04rel0/
, d7 ?# I5 ?$ A% d1 F                                  AR085-DC-11001-r0p0-04rel0/doc/
8 b# L- V; m9 r6 l- {                                  AR085-DC-11001-r0p0-04rel0/doc/errata/& x  d- B3 T/ j( @& P6 @
57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf
$ i& l1 A: A; t3 `- @5 m; s                                  AT590-BU-11001-r0p1-00rel0/
( M2 V+ u! t" R( t6 r3 ^b2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf4 N4 O: a( O( Z2 T
d305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf
6 D" c" r0 T% D& r1 Y' q5 R5 {2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst, B* U& S3 F. }) h8 `3 f3 b
                                  AT590-BU-50000-r0p1-00rel0/
, `: M+ h2 |  V' S* E, m7 G8 F70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf1 W, _0 ^( u' S5 x# V! U% f  C
                                  AT590-BU-50000-r0p1-00rel0/logical/0 g# I. r/ J6 t2 t5 r# \* m0 o
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/
# v& S( T! k1 l8 U% a                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/1 h: v; ?) v- ?& s2 y) c1 H/ O
80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v" q0 t; n0 ^; q+ |
063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v
2 M! A" q4 z% [17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v6 c7 A+ @$ ^8 w/ s
db6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v
  |; f1 [. ?7 J+ @( Z12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v+ G/ p- U! N$ l7 p8 `
bb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v/ B- S& n/ v: K; i/ I
2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v/ O  }' d" `& ~& S: [/ \
fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v
8 n& ^8 Z8 j3 g6 M72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v/ ?  W) R* l, Z( u. ]+ c$ w: U9 d
6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v$ r0 ]) {  a5 i* p
764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v2 R# J- D0 Y4 V
3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v+ U$ h+ L, A) t" e9 q; {# k* g
75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v( b" v5 t, w, ~' S- k
                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus// _5 @6 H. Q* V7 x( V+ _" E' [
                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/
9 g3 L( T, Q6 f; X. a3 Z) [95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v
9 G+ T- j7 J' B: a  J: U5 y302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v
; e5 y1 m6 y# Vfce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v' r; f2 }/ k7 {
c00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v
, W5 a6 i. u) H$ q% M, f0 {6 N$ Jed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v
- o6 m3 F" V$ J: L  X1 t+ F57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v. [) Z; [  J& Y& S/ D$ R- c
66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v9 ^% H% f# R+ {7 l% v' y0 n: j
62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v. c$ t& q9 a/ P# B- ]
4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v
1 {) p# t# y2 r0 e% O8 va436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
推薦
發表於 2015-3-11 14:42:28 | 只看該作者
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!
回復 支持 0 反對 1

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-21 10:26 AM , Processed in 0.113514 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表