Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3202|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:2 C, {' Q7 x0 ?8 b
我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!, |* N0 j7 j4 s' W1 l4 U% @$ |& d
& i( g4 z5 C% L' o+ @% x
LIBRARY ieee;7 v  \" N& u( H& r  o# @: c& a
USE ieee.std_logic_1164.all;! V% L6 Q# ^0 T& q7 E; ?
USE ieee.std_logic_arith.all;2 A. Y+ q* _0 k3 g/ I

  t7 C) e$ {/ e) y* Y& C; RENTITY memory_64 IS
- r3 J7 J  \$ V$ p   PORT(
/ o/ t# c1 l5 E5 e) b8 z" E8 Z      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
& ?; |& _2 f( y8 R# K      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );: ?6 G* d: k5 L6 `( d! k9 o  O: s
      clr_l    : IN     std_logic;. i' c. w' Q/ T  s& N; s9 y
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )0 @7 ^3 D( \: t( h
   );" F; c" f' U8 k4 e

& N/ y$ @( f% C* }* Y# J& ?1 G-- Declarations1 r: O+ H8 a3 _, g! @$ I4 s

) |( P6 P$ L( H% p7 }END memory_64 ;6 m6 c5 X2 v- R$ ~
# g- M2 S& ?5 h5 G
--2 L: s+ `: |& n' r
ARCHITECTURE arch OF memory_64 IS
: l# ?3 E: W2 K( r" {- q) }-- column decoder2 f( H: _9 u9 \6 f& u) `9 O$ T
component mem_coldec
, K( B4 y+ S8 K9 [; b% P* M* j   PORT( ' V, D" ?4 B' u
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
0 _) `7 K6 T/ J0 ~4 k) g      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
( P/ j& Z. T8 _& ~% V( @   );4 x, _1 z5 x4 u$ T2 i9 u6 f6 V
end component;; C' g% Z* z5 Z, W1 N- O
-- row decoder
- l. y) E6 E( c2 ]1 N: B( w" ?6 `component mem_rowdec
  H1 q" o0 t+ v) Y) O3 v$ j( r   PORT(
, E8 `# n, O8 J& |      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
/ x! e6 `. w5 ~: Q      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
5 I/ ~. Z8 z0 B' l/ R0 L5 ?7 D   );
/ Y' [1 I5 M% u' K' E$ i% e1 L+ rend component;   / y$ w. S0 e' {' O( `  T
-- latch array   
6 _# d, o; m, Ycomponent latch_cell
9 x5 U* z6 r- [9 u6 N     PORT(
6 j# }9 m" L+ E" w/ f( T( ^        clr_l    : IN     std_logic;
  Y& r! S$ ^" H1 j        col_sel  : IN     std_logic;( Y! Y. r( C) C5 c+ F
        row_sel  : IN     std_logic;        
( m! R) x4 P' U7 E' |& Y        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );# L8 k0 ]2 E0 J7 X1 Z
        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )! U( Z. L9 N7 `- k3 P  T0 l
     );2 B+ r5 m8 c5 Z& t+ U* |. p
end component;    ; Z1 l+ d4 t; d% A" V+ U

7 E6 W! V" c! K" J5 S! Y. r; Esignal smem_out : std_logic_vector ( 5 downto 0 );8 s( ?0 ~  P7 E* w1 S2 o( x
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );9 E6 f! o- c' x6 a* f4 P" C
BEGIN6 f* d% e) U! w8 }% N( W% ]# R. P
  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
. t0 K* v9 t$ I2 w  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);  a" x! U, g; [1 j
  g0 : for i in 0 to 7 generate -- column generate* J+ D; {) i4 l* l
    g1 : for j in 0 to 7 generate -- row generate
$ M0 a3 ~$ R) a2 T         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);
! b8 o5 ~/ P( J$ i. p    end generate;9 y# _: r+ N7 ?( p
  end generate;
8 w# d1 |. L+ x- oEND ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM7 h9 G* t4 [  D& _1 Z" {8 Z

& M) p  P( j3 m6 z6 O沒有什麼特別的啦^^...
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好
& F5 p& h  ?: ~% w/ k' U9 g不曉得你為甚麼要捨近求遠
( L3 a' ^9 v4 i" y2 B5 a0 ]! H- r4 E可以說說這麼做的原因嗎?
  N4 [  T$ r) V9 ^# B6 n還有這是要做甚麼樣子的應用- ~9 V: }5 k, k# q( w
謝謝
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好
: e* {" e8 V4 l不用將address分成row跟column- z1 f7 s7 L( b0 W
這樣只是將問題複雜化而已
: j: B. e1 l* l8 s你可以想成有64個6 bit的暫存器,來組成你要的ram
2 x7 v) U, a) q1 b2 Z
( I9 A4 b6 V" h  S6 }" [* o2 }用陣列寫法,code比較簡潔,建議使用
. L; U1 |9 V! L! P以下有範例,請參考
4 g# }9 C6 w$ `2 l- ihttp://www.doulos.com/knowhow/vh ... s/simple_ram_model/
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 07:28 AM , Processed in 0.103513 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表