Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3200|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:0 E2 g" t6 [% ~% m# u/ m/ m
我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!
/ V  i* D5 {7 S7 |2 x  P, i1 m# f/ c4 g; H2 q& ^0 Q
LIBRARY ieee;: ]& J( M) U0 o+ Z7 }
USE ieee.std_logic_1164.all;) W9 Z) N& z+ e
USE ieee.std_logic_arith.all;3 V( }9 v4 c5 M
$ \  k! t3 Y1 q8 h- E
ENTITY memory_64 IS
6 p  s/ m, `) X( S* }* W1 ~  P  [   PORT( 0 |+ M9 O9 Y* a; g$ Y
      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
  o2 _5 n- X) A9 U, G1 S! g5 N      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );
  C: H& T$ y) k# S8 W      clr_l    : IN     std_logic;; D4 C) X) K$ k* W: ]( M- y
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )! p1 x4 \2 I) @( ^; E& _) K
   );
- P+ Y$ H* R" C, V$ U+ h2 K
& Q/ M. q3 h6 ~& l. Y9 Z0 {-- Declarations
% Y6 \" K" B8 T8 `
, y- y7 c. b4 p; TEND memory_64 ;. H0 u" @; E: \5 O+ I
: A* V% n0 P+ Q5 v8 m+ {2 Z, }
--7 n# P: }8 a3 W5 W9 Z4 p6 `5 A4 J3 Z
ARCHITECTURE arch OF memory_64 IS2 U  L( u( i8 V& W3 u9 j
-- column decoder
5 b* f8 I! E) d2 u5 b  f' U& Vcomponent mem_coldec
  ^/ H; U8 `) ?& _: \: Y7 ~& k   PORT( / y+ D- v: a% O: `  K6 N
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );7 Y1 V2 a# Y  \4 x
      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
3 T) a2 q" ^4 X& L2 n6 M   );
2 m; A6 K. |3 g) F0 Y+ Iend component;
/ B+ Y: z' f* L9 ]-- row decoder
" Q% l- o% y" F8 i) \, zcomponent mem_rowdec9 ^* r" |2 Y* |$ q- V& \5 B! p
   PORT( 1 G7 p0 m$ N' Z' J5 Q: K
      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
& n: J4 U" d( J6 A- X1 q      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
# l7 v2 |1 n1 l8 n   );
2 n! M; `3 Q; W0 F7 b& a5 cend component;   
, @) W# s4 f. Y-- latch array   * x# _; M) h2 ~6 d' r
component latch_cell9 d$ v, p4 e' _1 i6 c) I2 A
     PORT( 4 U; ^/ K- M% N# ^8 @
        clr_l    : IN     std_logic;
" _1 D2 c9 J( }7 M' E; k* Q        col_sel  : IN     std_logic;
: }0 P, }5 W5 ]/ M5 }: y: V        row_sel  : IN     std_logic;        
6 Y  `3 `: C2 |        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
  t" x6 |& k' ]. G$ v        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )
! p8 v! P9 p, P" A; @% m     );( ?$ Y1 o: Y; q$ H, z- F# N9 c  }
end component;    . c: F0 _9 z$ g
; R4 y! k- ^* D6 k+ x+ i, b  w0 |
signal smem_out : std_logic_vector ( 5 downto 0 );! e$ ?( _. [3 t' U4 j6 {
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );
  D; W" g! V% Q. ~9 ]BEGIN
5 ]4 k- T2 P- q; Y* z  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);" J- z5 s3 g# O2 G$ h; }9 T
  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);9 b, ?. r- O& G7 D( B) \' v
  g0 : for i in 0 to 7 generate -- column generate$ |2 r5 r5 _8 [( r2 Y) t9 [0 r4 p% x
    g1 : for j in 0 to 7 generate -- row generate
# R% t8 m' w+ z8 \7 B         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);
" E7 k  W- Y5 i% i$ u3 {3 p    end generate;
2 S" y, S+ s* I& }- X: R% Z/ Z  end generate;5 Q( ]' m3 B2 ^% ?
END ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2008-3-7 12:30:16 | 顯示全部樓層
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
3#
 樓主| 發表於 2008-3-12 23:02:03 | 顯示全部樓層

記憶體問題

我只是試著把FPGA規劃成一顆RAM
9 z) F6 d9 v# {6 K/ u6 z  y* I+ [, g" c* f/ X: r+ O* b
沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 03:31 AM , Processed in 0.101013 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表